TAKAMARO KIKKAWA
Last Updated :2024/03/04
- Affiliations, Positions
- Research Institute for Nanodevice and Bio Systems, Professor (Special Appointment)
- E-mail
- kikkawathiroshima-u.ac.jp
Basic Information
Major Professional Backgrounds
- 1976/04/01, NEC Corporation, Engineer
- 1983/08/01, Massachusetts Institute of Technology, Visiting scientist
- 1988/07/01, NEC Microelectronics Laboratory, Research Manager
- 1994/07/01, NEC ULSI Device Development Laboratory, Senior manager
- 1998/07/01, Hiroshima University Research Center for Nanodevices and Systems, Professor
- 2001/08/01, National Institute of Advanced Industrial Science and Technology, Senior researcher
- 2007/04/01, Hiroshima University Research Center for Nanodevices and Systems, Director
- 2007/04/01, Hiroshima University Graduate School of Advanced Sciences of Matter, Vice Dean
- 2008/05/01, Hiroshima University Research Institute for Nanodevice and Bio Systems, Director
- 2017/03/17, Hiroshima University, Professor Emeritus
- 2017/04/01, Hiroshima University, Professor
Educational Backgrounds
- Shizuoka University, Graduate School, Division of Engineering, Electronic Engineering, Japan, 1974/04, 1976/03
- Shizuoka University, Faculty of Engineering, Electronic Engineering, Japan, 1970/04, 1974/03
Academic Degrees
- Doctor of Engineering in Electronic System, Tokyo Institute of Technology
- Shizuoka University
Research Fields
- Engineering;Electrical and electronic engineering;Electron device / Electronic equipment
Research Keywords
- integrated circuits
- wireless interconnects
- dielectric films
- antennas and propagation
- engineering in medicine and biology
- breast cancer detection
Research Activities
Academic Papers
- Complex permittivities of breast tumor tissues obtained from cancer surgeries, APPLIED PHYSICS LETTERS, 104(25), 2014
- Effect of phosphorus atom in self-assembled monolayer as a drift barrier for advanced copper interconnects, APPLIED PHYSICS EXPRESS, 1(6), 2008
- Effect of phosphorus atom in self-assembled monolayer as a drift barrier for advanced copper interconnects, APPLIED PHYSICS EXPRESS, 1(6), 2008
- Effect of phosphorus atom in self-assembled monolayer as a drift barrier for advanced copper interconnects, APPLIED PHYSICS EXPRESS, 1(6), 2008
- Advanced DC-SF Cell Technology for 3-D NAND Flash, IEEE TRANSACTIONS ON ELECTRON DEVICES, 60(4), 1327-1333, 2013
- Advanced DC-SF Cell Technology for 3-D NAND Flash, IEEE TRANSACTIONS ON ELECTRON DEVICES, 60(4), 1327-1333, 2013
- Advanced DC-SF Cell Technology for 3-D NAND Flash, IEEE TRANSACTIONS ON ELECTRON DEVICES, 60(4), 1327-1333, 2013
- Current Status of Low-k/Cu Technologies and Future Prospect of Interconnections(High Speed and Optoelectronic Technology II), IEICE technical report. Electron devices, 105(153), 39-42, 20050622
- Current Status of Low-k/Cu Technologies and Future Prospect of Interconnections(High Speed and Optoelectronic Technology II), IEICE technical report. Electron devices, 105(153), 39-42, 20050622
- Current Status of Low-k/Cu Technologies and Future Prospect of Interconnections(High Speed and Optoelectronic Technology II), IEICE technical report. Electron devices, 105(153), 39-42, 20050622
- Degradation Mechanism of Contact Resistance During Window Formation, The transactions of the Institute of Electronics, Information and Communication Engineers, 78(5), 244-250, 19950525
- Degradation Mechanism of Contact Resistance During Window Formation, The transactions of the Institute of Electronics, Information and Communication Engineers, 78(5), 244-250, 19950525
- Degradation Mechanism of Contact Resistance During Window Formation, The transactions of the Institute of Electronics, Information and Communication Engineers, 78(5), 244-250, 19950525
- Low-Temperature Etching of 0.2 μm Al Patterns Using a Sio_2 Mask, Japanese journal of applied physics. Pt. 1, Regular papers & short notes, 31(12), 4376-4380, 19921230
- Low-Temperature Etching of 0.2 μm Al Patterns Using a Sio_2 Mask, Japanese journal of applied physics. Pt. 1, Regular papers & short notes, 31(12), 4376-4380, 19921230
- Low-Temperature Etching of 0.2 μm Al Patterns Using a Sio_2 Mask, Japanese journal of applied physics. Pt. 1, Regular papers & short notes, 31(12), 4376-4380, 19921230
- Fabrication of High-Performance Poly-Si TFTs with Highly Biaxially-Oriented Poly-Si Thin Films by Double-Line Beam Continuous-Wave Laser Lateral Crystallization, Technical report of IEICE. SDM, 114(1), 45-49, 20140403
- Quarter-Micron Interconnection Technologies for 256-Mbit Dynamic Random Access Memories, Japanese Journal of Applied Physics, 32(1), 338-346, 1993
- Quarter-Micron Interconnection Technologies for 256-Mbit Dynamic Random Access Memories, Japanese Journal of Applied Physics, 32(1), 338-346, 1993
- Quarter-Micron Interconnection Technologies for 256-Mbit Dynamic Random Access Memories, Japanese Journal of Applied Physics, 32(1), 338-346, 1993
- RSSI-CSI Measurement and Variation Mitigation With Commodity Wi-Fi Device, IEEE INTERNET OF THINGS JOURNAL, 10(7), 6249-6258, 20230401
- Breast tumor detection by 1D-convolutional neural network based on ultra-wide-band microwave technology, MEASUREMENT SCIENCE AND TECHNOLOGY, 34(2), 20230201
- Combined Approach to Estimate Blood Glucose Level in Noninvasive Monitoring: Ultra-Wide Band Microwave and Cascaded General Regression Neural Network, IEEE TRANSACTIONS ON INDUSTRIAL INFORMATICS, 18(8), 5105-5114, 202208
- Effects of Surfactants on the properties of ordered periodic porous silica films, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 42(4B), 1840-1842, 200304
- Low-k dielectric film Patterning by X-ray lithography, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 42(4B), 1907-1910, 200304
- Mechanical properties of periodic porous silica low-k films determined by the twin-transducer surface acoustic wave technique, REVIEW OF SCIENTIFIC INSTRUMENTS, 74(10), 4539-4541, 2003
- Robust self-assembled monolayer as diffusion barrier for copper metallization, APPLIED PHYSICS LETTERS, 83(25), 5181-5183, 20031222
- Organic contamination dependence of process-induced interface trap generation in ultrathin oxide metal oxide semiconductor transistors, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS, 42(12A), L1429-L1432, 20031201
- Direct observation of electromigration and induced stress in Cu nanowire, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS, 42(12A), L1433-L1435, 20031201
- Theoretical analysis of elastic modulus and dielectric constant for low-k two-dimensional periodic porous silica films, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 43(2), 498-503, 200402
- Molecular orbital calculation of the elastic modulus and the dielectric constant for ultra low-k organic polymers, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 43(2), 504-507, 200402
- Mechanical property determination of thin porous low-k films by twin-transducer laser generated surface acoustic waves, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 43(2), 508-513, 200402
- Control of pore structures in periodic porous silica low-k films, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 43(4A), 1323-1326, 200404
- Nondestructive characterization of a series of periodic porous silica films by in situ spectroscopic ellipsometry in a vapor cell, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 43(4A), 1327-1329, 200404
- Role of frictional force on the polishing rate of Cu chemical mechanical polishing, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 43(4B), 1813-1819, 200404
- A novel photosensitive porous low-k interlayer dielectric film, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 43(4B), 1820-1824, 200404
- Characteristics of Si integrated antenna for inter-chip wireless interconnection, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 43(4B), 2283-2287, 200404
- Effect of high-resistivity Si substrate on antenna transmission gain for on-chip wireless interconnects, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 43(4B), 2297-2301, 200404
- Mechanical property and network structure of porous silica films, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 43(5A), 2453-2456, 200405
- Structural and electrical properties of ultralow-k, disordered mesoporous silica films synthesized using nonionic templates, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 151(10), F248-F251, 2004
- Influence of bottom electrodes and interface layers on (Ba,Sr)TiO3 thin film leakage current, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 43(8A), 5221-5230, 200408
- Transient capacitance spectroscopy of copper-ion-drifted methylsilsesquiazane-methylsilsesquioxane interlayer dielectrics, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 43(12), 8026-8027, 2004
- Theoretical investigation of dielectric constant and elastic modulus of two-dimensional periodic porous silica films with elliptical cylindrical pores, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 44(3), 1161-1165, 200503
- Theoretical investigation into effects of pore size and pore position distributions on dielectric constant and elastic modulus of two-dimensional periodic porous silica films, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 44(3), 1166-1168, 200503
- Characterization of photosensitive low-k films using electron-beam lithography, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 152(4), G281-G285, 2005
- A CMOS monocycle pulse generation circuit in a ultra-wideband transmitter for intra/inter chip wireless interconnection, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 44(4B), 2104-2108, 200504
- Efficient design of integrated antennas on Si for on-chip wireless interconnects in multi-layer metal process, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 44(4B), 2756-2760, 200504
- Transmission characteristics of Gaussian monocycle pulses for inter-chip wireless interconnections using integrated antennas, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 44(4B), 2761-2765, 200504
- Skeletal silica characterization in porous-silica low-dielectric-constant films by infrared spectroscopic ellipsometry, JOURNAL OF APPLIED PHYSICS, 97(11), 2005
- Influence of humidity on electrical characteristics of self-assembled porous silica low-k films, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 152(7), G560-G566, 2005
- Influence of slurry chemistry on frictional force in copper chemical mechanical polishing, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 152(9), G695-G697, 2005
- Theoretical investigation of dielectric constant and elastic modulus of three-dimensional isotropic porous silica films with cubic and disordered pore arrangements, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 44(8), 5982-5986, 200508
- Ultrawideband characteristics of fractal dipole antennas integrated on Si for ULSI wireless interconnects, IEEE ELECTRON DEVICE LETTERS, 26(10), 767-769, 2005
- Analysis of transmission characteristics of Gaussian monocycle pulses for silicon integrated antennas, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 45(4B), 3272-3278, 200604
- A 2.4 GHz differential wavelet generator in 0.18 mu m complementary metal-oxide-semiconductor for 1.4 Gbps ultra-wideband impulse radio in wireless inter/intra-chip data communication, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 45(4B), 3279-3285, 200604
- Influence of CMP chemicals on the properties of porous silica low-k films, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 153(7), G692-G696, 2006
- Measurement and analysis of water adsorption in porous silica films, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 153(8), G759-G764, 2006
- Signal transmission characteristics between Si chips with air gap using Si integrated dipole antennas, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 45(6A), 4968-4976, 200606
- Influence of Cu electroplating solution on self-assembled porous silica low-k films, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 153(9), G870-G873, 2006
- Plasma-enhanced co-polymerization of organo-siloxane and hydrocarbon for low-k/Cu interconnects, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 46(7A), 4064-4069, 200707
- Recovery from plasma-process-induced damage in porous silica low-k films by organosiloxane vapor annealing, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 45(8A), 6231-6235, 200608
- Plasma etch rates of porous silica low-k films with different dielectric constants, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 45(11), 8873-8875, 2006
- Vapor phase reactions in polymerization plasma for divinyisiloxane-bis-benzocyclobutene film deposition, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A, 24(6), 2192-2198, 2006
- Dependences of Young's modulus of porous silica low dielectric constant films on skeletal structure and porosity, JOURNAL OF APPLIED PHYSICS, 100(12), 20061215
- An organic low-k film deposited by plasma-enhanced copolymerization, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 154(3), H147-H152, 2007
- Effect of bridging groups of precursors on modulus improvement in plasma-enhanced copolymerized low-k films, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 154(3), H198-H201, 2007
- Effect of moisture adsorption on the properties of porous-silica ultralow-k films, MICROELECTRONIC ENGINEERING, 83(11-12), 2126-2129, 2006
- Removal of etching/ashing residues and ashing/wet-clean damage in porous silica low-k films, MICROELECTRONIC ENGINEERING, 83(11-12), 2142-2145, 2006
- Effect of frictional force vector on delamination in Cu/low-k integration, MICROELECTRONIC ENGINEERING, 83(11-12), 2146-2149, 2006
- Recovery processes of CMP-induced damages for copper/porous silica damascene interconnects, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 154(5), H400-H405, 2007
- Novel organosiloxane vapor annealing process for improving properties of porous low-k films, THIN SOLID FILMS, 515(12), 5019-5024, 20070423
- Copper barrier properties of a low-dielectric-constant organocyclosiloxane prepared by plasma-enhanced polymerization, APPLIED PHYSICS LETTERS, 90(18), 20070430
- Plasma-enhanced-polymerization thin-film as a drift barrier for Cu ions, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 46(4B), 1951-1954, 200704
- CoWP as a drift barrier for Cu ions studied by electric measurements, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 154(8), H672-H674, 2007
- Electrical characteristics of mesoporous pure-silica-zeolite film, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 46(9A), 5742-5746, 200709
- A Cu electroplating solution for porous Low-k/Cu damascene interconnects, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 154(12), D692-D696, 2007
- Gaussian monocycle pulse transmitter using 0.18 mu m CMOS technology with on-chip integrated antennas for inter-chip UWB communication, IEEE JOURNAL OF SOLID-STATE CIRCUITS, 43(5), 1303-1312, 200805
- Early breast cancer detection by ultrawide band imaging with dispersion consideration, JAPANESE JOURNAL OF APPLIED PHYSICS, 47(4), 3209-3213, 200804
- Effect of phosphorus atom in self-assembled monolayer as a drift barrier for advanced copper interconnects, APPLIED PHYSICS EXPRESS, 1(6), 200807
- Via-shape-control for copper dual-damascene interconnects with low-k organic film, IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING, 21(2), 256-262, 200805
- Electrical reliabilities of highly cross-linked porous silica film with cesium doping, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 155(11), G258-G264, 2008
- Theoretical investigation of maximum field strength in porous silica dielectric, JAPANESE JOURNAL OF APPLIED PHYSICS, 47(7), 5314-5319, 200807
- Influence of the organism interface on the breast cancer detection by UWB, APPLIED SURFACE SCIENCE, 255(2), 597-599, 20081115
- Pure Silica Zeolite Films Prepared by a Vapor Phase Transport Method, JAPANESE JOURNAL OF APPLIED PHYSICS, 47(11), 8360-8363, 2008
- Effect of Water Adsorption on Electrical Characteristics of Porous Silica Films, JAPANESE JOURNAL OF APPLIED PHYSICS, 47(11), 8364-8368, 2008
- Effect of Silylation Hardening on the Electrical Characteristics of Mesoporous Pure Silica Zeolite Film, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 156(2), H98-H105, 2009
- A Single-Chip Ultra-Wideband Receiver With Silicon Integrated Antennas for Inter-Chip Wireless Interconnection, IEEE JOURNAL OF SOLID-STATE CIRCUITS, 44(2), 382-393, 200902
- Fabrication of Si Nanowire Field-Effect Transistor for Highly Sensitive, Label-Free Biosensing, JAPANESE JOURNAL OF APPLIED PHYSICS, 48(6), 200906
- Properties of Sr7Nb13O36/Nb2O5/Sr7Nb13O36 Laminated Films for Gigabit Memory Capacitors, JAPANESE JOURNAL OF APPLIED PHYSICS, 48(7), 200907
- Integration of Self-Assembled Porous Silica in Low-k/Cu Damascene Interconnects, JAPANESE JOURNAL OF APPLIED PHYSICS, 48(9), 200909
- Properties of TiO2/LaxTi1-xOy/TiO2 Stacked Thin Films, JAPANESE JOURNAL OF APPLIED PHYSICS, 48(10), 2009
- Quantitative Determination of Complex Dielectric Function of Amorphous Silicon Dioxide on Silicon Substrate from Transmission Spectrum, JAPANESE JOURNAL OF APPLIED PHYSICS, 48(12), 2009
- Ultralow-k/Cu Damascene Multilevel Interconnects Using High Porosity and High Modulus Self-Assembled Porous Silica, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 157(5), H519-H525, 2010
- A 3.5-4.5 GHz Complementary Metal-Oxide-Semiconductor Ultrawideband Receiver Frontend Low-Noise Amplifier with On-Chip Integrated Antenna for Interchip Communication, JAPANESE JOURNAL OF APPLIED PHYSICS, 49(4), 2010
- 32 GS/s ultra-high-speed UWB sampling circuit for portable imaging system, ELECTRONICS LETTERS, 47(3), 165-U24, 2011
- Confocal Imaging Using Ultra Wideband Antenna Array on Si Substrates for Breast Cancer Detection, JAPANESE JOURNAL OF APPLIED PHYSICS, 49(9), 2010
- Infrared spectroscopic analysis of siloxane network modification of mesoporous silica film by silylation and cesium doping, THIN SOLID FILMS, 519(2), 674-680, 20101101
- Young's modulus evaluation by SAWs for porous silica low-k film with cesium doping, MICROELECTRONIC ENGINEERING, 88(5), 666-670, 201105
- Wireless inter-chip interconnects, MICROELECTRONIC ENGINEERING, 88(5), 767-774, 201105
- A 800 Mb/s CMOS detection scheme for UWB impulse-radio communication, AEU-INTERNATIONAL JOURNAL OF ELECTRONICS AND COMMUNICATIONS, 65(5), 398-405, 2011
- A 5.4-9.2 GHz 19.5 dB Complementary Metal-Oxide-Semiconductor Ultrawide-Band Receiver Front-End Low-Noise Amplifier, JAPANESE JOURNAL OF APPLIED PHYSICS, 50(4), 201104
- Confocal Imaging System Using High-Speed Sampling Circuit and Ultra-Wideband Slot Antenna, JAPANESE JOURNAL OF APPLIED PHYSICS, 50(4), 201104
- Influence of Synthesis Process on Mechanical and Electrical Characteristics of Mesoporous Pure Silica-Zeolite, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 158(6), H659-H665, 2011
- A 1 Gb/s 3.8 pJ/bit Differential Input BPSK Detection Scheme for UWB-IR Communication Using 180 nm CMOS Technology, IEICE TRANSACTIONS ON ELECTRONICS, E94C(2), 240-247, 201102
- Ionic Vibration Spectrum of Nanocrystalline MEL Pure Silica Zeolite Film, JOURNAL OF PHYSICAL CHEMISTRY C, 115(23), 11569-11574, 20110616
- Molecular Bonding Structure of Alkylene-Bridged Organosilicate Glass Films, JOURNAL OF PHYSICAL CHEMISTRY C, 115(26), 12981-12989, 20110707
- A 2 Gb/s 1.8 pJ/bit Differential BPSK UWB-IR Transmitter Using 65 nm CMOS Technology, IEICE TRANSACTIONS ON ELECTRONICS, E94C(6), 977-984, 201106
- A 500 Mb/s Differential Input Non-coherent BPSK Receiver for UWB-IR Communication, IEICE TRANSACTIONS ON ELECTRONICS, E94C(4), 567-574, 201104
- ★, Direct patterning of photosensitive low-dielectric-constant films using electron beam lithography, Appl. Phys. Lett=, 78(17), 2557-2559, 20010401
- Current and Future Low-k Dielectrics for Cu interconnects, Technical Digest of Internatinal Electron Devices Meeting= IEEE= New York=, 253-256, 20000401
- Inductive-coulped RF magnetron palsma deposition of BST for decoupling capacitors, International conference on solido-state materials and devices (Japanes Society of Applied Physics), 158-159, 20000401
- Copper ion drift rates in porous methylsilsesquiazane dielectric film, International conference on solido-state materials and devices (Japanes Society of Applied Physics), 34-35, 20000401
- Measurement of Copper Drift in Methylsilsesquioxane Dielectric Films, International conference on solido-state materials and devices (Japanes Society of Applied Physics), 504-505, 19990401
- A New Cobalt Salicide Technology for 0.15 um CMOS Devices, IEEE Trans. on Electron Devices, 45(11), 2312-2318, 19980401
- Cleaning of CHF3 Plasma-Etched SiO2/SiN/Cu Via Structures with Dilute Hydrofluoric Acid Solutions, Journal of Electrochemical Society, 144(7), 2565-2572, 19970401
- Low contact resistance metallization for gigabit scale DRAM using fully dry cleaning by Ar/H2 ECR plasma, IEEE Trans. on Electron Devices, ED-44, 588-594, 19970401
- Ultra-Low Resistance Direct Contact Cu Via Technology Using In-situ Chemical Vapor Cleaning, Technical Digest of International Electron Devices Meeting (IEEE= New York= 1997), 59-60, 19970401
- Epitaxial cobalt silicide formation using high temperature sputtering and vacuum annealing, Transactions of the Materials Reserch Society of Japan, vol.440, 435-440, 19970401
- Al-Ge-Cu multilevel damascene process using low-temperature reflow sputtering and chemical mechanical polishing, IEEE Trans. Electron Devices, 43(5), 739-745, 19960401
- Electromigration characteristics for Al-Ge-Cu, J. Electrochem. Soc., 143(3), 1088-1092, 19960401
- Barrier metal free copper damascene interconnection technology using atmospheric copper reflow and nitrogen doping in SiOF film, Technical Digest of Internatinal Electron Devices Meeting= IEEE= New York=, 365-368, 19960401
- A novel TiN/Ti contact plug technology for gigabit scale DRAM using Ti-PECVD and TiN-LPCVD, Technical Digest of International Electron Devices Meeting (IEEE= New York= 1996), 361-364, 19960401
- Phase transformations of titanium sicilicide induced by high temperature sputtering, Proceedings of Materials Research Society Fall Meeting=( Materials Reseach Society= Pittsburgh= PA= 1995), 272-272, 19960401
- Observation of sidewall contamination in submicron holes by thermal desorption spectroscopy, Journal of Vac. Sci. Technol. A=, 13,(.6), 2197-2200,, 19950401
- Thermal desorption spectroscopic analysis for residual chlorine on Al-Si-Cu after ECR plasma etching, Journal of Vac. Sci. Technol. A=, 13(6), 2935-2938, 19950401
- Reactive ion etching of silicon oxynitride formed by plasma-enhanced chemical vapor deposition, Journal of Vac. Sci. Technol. A=, 13(.4), 1447-1450, 19950401
- Direct analysis of contamination in submicron contact holes by thermal desorption spectroscopy, Journal of Vac. Sci. Technol. A=, 13(1), 42-46, 19950401
- W/Ti self-aligned silicidation process for 0.25 um CMOS, NEC Journal of Research and Development, .36(1), 114-121, 19950401
- An advanced technique for fabrication hemispherical grained (HSG) silicon storage electrodes, IEEE Transaction on Electron Devices, 42(2), 295-300, 19950401
- Deep Subhalf-micron contact filling technology using control etching and collimated Ti sputtering techniques, Electrochemical Society (Hawai= Oct. 3-8= 2004), 142(2), 664-668, 19950401
- Low contact resistance metallization for giga bit scale DRAMs using fully dry cleaning by Ar/H2 ECR plasma, Technical Digest of Internatinal Electron Devices Meeting= IEEE= New York=, 695-698, 19950401
- A new cobalt salicide technology for 0.15um CMOS using high temperature sputtering and in-situ vacuum annealing, Technical Digest of International Electron Devices Meeting ( IEEE= New York= 1995), 445-448, 19950401
- Sub-quarter micron titanium salicide technology with in-situ silicidation using high temperature sputtering, Proceedings of the Symposium on VLSI Technology=( IEEE= NewYork= 1995)=, 57-58, 19950401
- 0.35 um Technologies in Japan, Proceedings of Materials Research Society Fall Meeting=( Materials Reseach Society= Pittsburgh= PA= 1995), 199-208, 19950401
- Self-aligned tungsten strapped source drain and gate technology realizing the lowest sheet resistance for sub-quarter micron CMOS, Technical Digest of Internatinal Electron Devices Meeting= IEEE= New York=, 493-496, 19940401
- Aluminum Germanium Copper multilevel damascene process using low temperature reflow sputtering and chemical mechanical polishing, Technical Digest of International Electron Devices Meeting ( IEEE= New York= 1994), 101-104, 19940401
- Multilevel planarized-trench aluminum (PTA) interconnection using reflow sputtering and chemical mechanical polishing, Technical Digest of International Electron Devices Meeting ( IEEE= New York= 1995), 285-288, 19940401
- A capacitor over bit line stacked capacitor cell usign local interconnect layer for 64 MbDRAM, IEICE Trans. Electron., E76-C(4), 548-555, 19930401
- Study of submicron SrTiO3 patterning, Japanese Journal of Applied Physics, 32((1B)), 376-379, 19930401
- Aluminum-arsenide precipitation in an arsenic-implanted aluminum thin film., Applied Physics Letters, 63(11), 1495-1497, 19930401
- The influence of hydrogen evolution from plasma-deposited silicon? nitride on underlying aluminum deformations, Journal of Fisheries Science and Technology, 11(2), 228-233, 19930401
- Effect of ion implantation on aluminum void suppression, Journal of Applied Physics, 73(5), 2505-2509, 19930401
- A quarter-micron interconnection technology using TiN/Al-Si-Cu/TiN/Al-Si-Cu/TiN/Ti multilayer structure, IEEE Transaction on Electron Devices, ED-40(2), 296-302, 19930401
- ★, Quarter-micron interconnection technologies for 256-Mbit DRAMs, Japanese Journal of Applied Physics, 32(1), 338-345, 19930401
- ★, Device application and structure observation for hemispherical-grained Si, Journal of Applied Physics, 71,, 3538-3543, 19920401
- The influence of underlying metals on the hydrogen evolution from plasma-deposited silicon nitride films, Journal of Applied Physics, 71(2), 958-965, 19920401
- Low temperature etching of 0.2 um Al patterns using SiO2 mask, Japanese Journal of Applied Physics, l.31(12B,), 4376-4380, 19920401
- Dependence of residual chlorine amount on Al grain size, Japanese Journal of Applied Physics, 31(6B), 2041-2044, 19920401
- A new abrasive-free chemical-mechanical-polishing technique for aluminum metallization of ULSI devices, Technical Digest of Internatinal Electron Devices Meeting= IEEE= New York=, 976-978, 19920401
- A quarter-micron planarized interconnection technology with self-aligned plug, Technical Digest of International Electron Devices Meeting =( IEEE= New York), 305-308, 19920401
- A new cylindrical capacitor using hemispherical grained Si (HSG-Si) for 256 Mb DRAMs, Technical Digest of International Electron Devices Meeting =( IEEE= New York), 259-262, 19920401
- Hemispherical grained silicon (HSG-Si) formation on in-situ phosphorous? doped amorphous-Si using the seeding method, Extended Abstracts of the 21st Conference on Solid State Devices and Materials, .422-424, 19920401
- Submicron SrTiO3 patterning by reactive ion etching with Cl2 and SF6, Extended Abstracts of the 19th Conference on Solid State Devices and Materials, 554-566, 19920401
- Ultra-thin? oxide/nitride/oxide/nitride multilayer films for megabit DRAM capacitors, Extended Abstracts of Conference on Solid State Devices and Materials=( Japan Society of Applied Physics= Tokyo), 67-69., 19920401
- 0.2 um low-temperature Al etching using SiO2 mask, Digest of MicroProcess= (Japan Society of Applied Physics= Tokyo), 144-145, 19920401
- A stacked capacitor with (BaxSr1-x)TiO3 for 256 MDRAM, Technical Digest of International Electron Devices Meeting =( IEEE= New York), 823-826, 19910401
- Influence of halogen plasma atomosphere on SiO2 etching characteristics, Japanese Journal of Applied Physics, l.30(.11B), 3174-3177, 19910401
- Amorphous-deposited polycrystalline silicon for contact hole plugs, Applied Physics Letters, 58, 610-612, 19910401
- After-corrosion suppression using low-temperature Al-Si-Cu Etching, Japanese Journal of Applied Physics, 30(7), 1567-1570, 19910401
- Initial stage of thermal-oxidation reactions on Cl-adsorbed Si surfaces, Surface Science, 256, 361-369, 19910401
- Chlorine/silicon surface reaction under heating, Surface Science, 250,, 235-242, 19910401
- Influence of O2 and oxide on Cl/Si surface reactions, Surface Science, 247, 21-31, 19910401
- Thermal reaction of WSix thin films with underlying Al films, Journal of Applied Physics, 70(4), 2370-2375, 19910401
- Device application and growth mechanism for hemi-spherical grained Si, Material Research Society Symposium Proceedings= vol.219= ( Material Research Society= Pittsburgh= 1991), 401-406, 19910401
- The dependence of residual chlorine amount on Al grain size, Proceedings of Symposium on Dry process ( Institute of Electrical Engineers of Japan= Tokyo= 1991), .123-128, 19910401
- An advanced fabrication technology of hemispherical grained (HSG) poly-Si for high capacitance storage electrode, Extended Abstracts of Conference on Solid State Devices and Materials=(Japan Society of Applied Physics= Tokyo= 1991)=, 478-480, 19910401
- Direct analysis for the contamination in contact and via holes after dry etching using thermal desorption spectroscopy, Extended Abstracts of Conference on Solid State Devices and Materials=(Japan Society of Applied Physics= Tokyo= 1992)=, 562-564, 19910401
- Al-Ge reflow sputtering for submicron contact-hole filling, Proceedings of VLSI Multilevel Interconnection Conference, 163-166, 19910401
- A quarter-micron interconnection technology using TiN/Al-Si-Cu/TiN/Al-Si-Cu/TiN/Ti alternated layers, Technical Digest of International Electron Devices Meeting =( IEEE= New York), 281-284, 19910401
- Properties of a poly-Si/GaAs layered structure on Si for Si heterojunction bipolar transistor, Journal of Electronic Materials, .19(8), 795-799, 19900401
- A capacitor-over-bit-line (COB) cell with a hemispherical grain storage node for 64Mb DRAMs, Technical Digest of International Electron Devices Meeting =( IEEE= New York), 655-658, 19900401
- Initital oxidation reaction on Cl-adsorbed Si surfaces, Extended Abstracts of Conference on Solid State Devices and Materials=( Japan Society of Applied Physics= Tokyo), 1111-1114, 19900401
- After-corrosion suppression using low-temperature Al-Si-Cu Etching, Proceedings of Symposium on Dry process ( Institute of Electrical Engineers of Japan= Tokyo= 1991), 141-146, 19900401
- 0.25 um contact hole filling by Al-Ge reflow sputtering, Proceedings of the Symposium on VLSI Technology=, 35-36, 19900401
- Poly-Si/GaAs layered structure on Si as a wide? bandgap emitter for Si heterojunction, Extended Abstracts of Conference on Solid State Devices and Materials=( Japan Society of Applied Physics= Tokyo), 369-372, 19890401
- The hydrogen evolution in plasma-deposited silicon nitride/aluminum-alloy layered structures, Abstracts of Electronic Materials Conference (The Metallurgical Society= Pennsylvania= 1989)=, E31, 19890401
- Comparison of refractory metal and silicide capping effect on aluminum metallizations, Proceedings of IEEE VLSI Multilevel Interconnection Conference= ( IEEE= New York), 463-469, 19890401
- A new polyimide siloxane film for interlayer dielectrics in sub-micron multilevel interconnection, Proceedings of IEEE VLSI Multilevel Interconnection Conference= ( IEEE= New York), 279-285, 19880401
- Hydrogen evolution in aluminum plasma deposited silicon nitride layered structures bipolar transistor, Applied Physics Letters, 50(21), 1527-1529, 19870401
- Dielectric film blisters and aluminum film deformations in multilevel metallization, Abstracts of The Metallurgical Society Fall Meeting= ( The Metallurgical Society= Pennsylvania= 1986)., 19860401
- Effects of Si3N4 and Al films on the passivation of poly-Si films, Abstracts? of the? Device Research Conference ( IEEE= New York)=, VB-4., 19840401
- A new complementary transistor structure for analog integrated circuits, Technical digest of International Electron Devices Meeting, .65-68., 19800401
- Effects of thin conductive film mask on ion implantation, Journal of the Electrochemical Society, 125(11), 1830-1833, 19780401
- High transmission gain integrated antenna on extremely high resistivity Si for ULSI wireless interconnect, IEEE Electron Devices Letters, 23(12), 731-733, 20021201
- Characteristics of integrated antenna on Si for on-chip wireless interconnect, Japanese Journal of Applied Physics, 42(4B), 2204-2209, 20030401
- Measurement of copper drift in methylsilsesquiazane-methylsilsesquioxane dielectric films, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 39(4B), 2189-2193, 200004
- Multilayer interconnect technology for scaling, ELECTRONICS AND COMMUNICATIONS IN JAPAN PART II-ELECTRONICS, 84(4), 26-40, 2001
- Direct patterning of photosensitive low-dielectric-constant films using electron-beam lithography, APPLIED PHYSICS LETTERS, 78(17), 2557-2559, 20010423
- Energy band structure of Ru/(Ba,Sr)TiO3/Si capacitor deposited by inductively-coupled plasma-assisted radio-frequency-magnetron plasma sputtering, APPLIED PHYSICS LETTERS, 81(15), 2821-2823, 20021007
- Copper drift in low dielectric constant insulator films caused by O-2(+) primary ion beam, APPLIED SURFACE SCIENCE, 203, 387-390, 20030115
- High transmission gain integrated antenna on extremely high resistivity si for ULSI wireless interconnect, IEEE ELECTRON DEVICE LETTERS, 23(12), 731-733, 2002
- Characteristics of integrated antenna on Si for on-chip wireless interconnect, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 42(4B), 2204-2209, 200304
- Model Pulses for Performance Prediction of Digital Microelectronic Systems, IEEE TRANSACTIONS ON COMPONENTS PACKAGING AND MANUFACTURING TECHNOLOGY, 2(11), 1859-1870, 2012
- Low-k Mesoporous Pure Silica Zeolite with High Elastic Modulus Using 1,3,5,7-Tetra-Methyl-Cyclo-Tetra-Siloxane and Ultraviolet Treatments, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 2(4), N89-N92, 2013
- Advanced DC-SF Cell Technology for 3-D NAND Flash, IEEE TRANSACTIONS ON ELECTRON DEVICES, 60(4), 1327-1333, 201304
- Scaling challenge of Self-Aligned STI cell (SA-STI cell) for NAND flash memories, SOLID-STATE ELECTRONICS, 82, 54-62, 201304
- Finite Element Model of Surface Acoustic Wave Method for Mechanical Characterization of Patterned Thin Films of the Ultra-Large Scaled Integrated Interconnect, JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY, 13(2), 1602-1606, 201302
- 125 mW 102.4 GS/s Ultra-High-Speed Sampling Circuit for Complementary Metal-Oxide-Semiconductor Breast Cancer Detection System, JAPANESE JOURNAL OF APPLIED PHYSICS, 52(4), 201304
- Ultra-wide band microwave image reconstruction for early breast cancer detection by norm constrained capon beamforming, MATHEMATICAL AND COMPUTER MODELLING, 58(1-2), 403-408, 201307
- A Compact 4 x 4 Planar UWB Antenna Array for 3-D Breast Cancer Detection, IEEE ANTENNAS AND WIRELESS PROPAGATION LETTERS, 12, 733-736, 2013
- Complex permittivities of breast tumor tissues obtained from cancer surgeries, APPLIED PHYSICS LETTERS, 104(25), 20140623
- Three-dimensional confocal imaging for breast cancer detection using CMOS Gaussian monocycle pulse transmitter and 4 x 4 ultra wideband antenna array with impedance matching layer, JAPANESE JOURNAL OF APPLIED PHYSICS, 53(4), 201404
- 5-Gb/s and 10-GHz Center-Frequency Gaussian Monocycle Pulse Transmission Using 65-nm Logic CMOS With On-Chip Dipole Antenna and High-kappa Interposer, IEEE TRANSACTIONS ON COMPONENTS PACKAGING AND MANUFACTURING TECHNOLOGY, 4(7), 1193-1200, 201407
- IMPROVED BEAMFORMING ALGORITHM FOR IMAGING RECONSTRUCTION FOR EARLY BREAST CANCER DETECTION BY UWB, JOURNAL OF CIRCUITS SYSTEMS AND COMPUTERS, 22(10), 201312
- Dependences of Young's modulus of porous silica low dielectric constant films on skeletal structure and porosity, JOURNAL OF APPLIED PHYSICS, 100(12), 2006
- Characteristics of Poly-Si Thin Film Transistors with Highly Biaxially Oriented Linearly Arranged Poly-Si Thin Films Using Double Line Beam Continuous-Wave Laser Lateral Crystallization, ECS Transactions, 64 (10) 39-44 (2014), 64, 39-44, 2014
- Direct Extraction of Tumor Response Based on Ensemble Empirical Mode Decomposition for Image Reconstruction of Early Breast Cancer Detection by UWB, IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, 9(5), 710-724, 201510
- A Radar-Based Breast Cancer Detection System Using CMOS Integrated Circuits, IEEE ACCESS, 3, 2111-2121, 2015
- Formation of amorphous alloys on 4H-SiC with NbNi film using pulsed-laser annealing, APPLIED PHYSICS LETTERS, 109(1), 20160704
- MRI-aided tissues interface characterization: An accurate signal propagation time calculation method for UWB breast tumor imaging, APPLIED SURFACE SCIENCE, 388, 24-34, 20161201
- Study on the interfacial adhesion property of low-k thin film by the surface acoustic waves with cohesive zone model, APPLIED SURFACE SCIENCE, 388, 448-454, 20161201
- Characterization of Grapho-Silicidation on n(+) 4H-SiC C-Face for Back Side Ohmic Contacts of Power Devices, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 5(9), P457-P460, 2016
- Evaluation and criterion determination of the low-k thin film adhesion by the surface acoustic waves with cohesive zone model, APPLIED SURFACE SCIENCE, 399, 599-607, 20170331
- Microwave Imaging Using CMOS Integrated Circuits with Rotating 4 x 4 Antenna Array on a Breast Phantom, INTERNATIONAL JOURNAL OF ANTENNAS AND PROPAGATION, 2017
- DC-17-GHz CMOS single-pole-eight-throw switching matrix for radar-based image detection, JAPANESE JOURNAL OF APPLIED PHYSICS, 55(12), 201612
- Study on the Interfacial Adhesion Property of Low-k Thin Film by the Surface Acoustic Waves with Cohesive Zone Model, Applied Surface Science, 2015
- I (Re)2-WiNoC: Exploring scalable wireless on-chip micronetworks for heterogeneous embedded many-core SoCs, Digital Communications and Networks, 2015
- Electromagnetic Modeling of Skin and Fat for Design of Body Diagnostic Antennas, J98-C(12), 456-458, 20151201
- Wideband pentagonal patch antenna for body diagnosis, J99-C(8), 365-372, 2016
- Detectability of Breast Tumor by a Hand-held Impulse-Radar Detector: Performance Evaluation and Pilot Clinical Study, SCIENTIFIC REPORTS, 7, 20171127
- Transmit/receive 3-20GHz 1.2mW packaged double-pole-16-throw switching matrix for radar-based target detection, JAPANESE JOURNAL OF APPLIED PHYSICS, 57(1), 201801
- Portable impulse-radar detector for breast cancer: a pilot study, JOURNAL OF MEDICAL IMAGING, 5(2), 201804
- Low-k Mesoporous Pure Silica Zeolite Synthesis with the Centrifugation Process of a Zeolite Precursor, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 7(5), N67-N71, 2018
- Effect of TMCTS silylation treatments on crosslinking density of low-k zeolite porous silica film, JAPANESE JOURNAL OF APPLIED PHYSICS, 58(2), 201902
- I(Re)(2)-WiNoC: Exploring scalable wireless on-chip micronetworks for heterogeneous embedded many-core SoCs, DIGITAL COMMUNICATIONS AND NETWORKS, 1(1), 45-56, 201502
- Detectability of Breast Tumors in Excised Breast Tissues of Total Mastectomy by IR-UWB-Radar-Based Breast Cancer Detector, IEEE TRANSACTIONS ON BIOMEDICAL ENGINEERING, 66(8), 2296-2305, 201908
- Absorption spectrum for non-invasive blood glucose concentration detection by microwave signals, JOURNAL OF ELECTROMAGNETIC WAVES AND APPLICATIONS, 33(9), 1093-1106, 20190613
- A 2-to 12-GHz 65-nm transmit/receive CMOS DP8T switching matrix for ultra-wideband antenna arrays, INTERNATIONAL JOURNAL OF CIRCUIT THEORY AND APPLICATIONS, 47(8), 1293-1301, 201908
- Optimal microwave breast imaging using quality metrics and simulated annealing algorithm, INTERNATIONAL JOURNAL OF RF AND MICROWAVE COMPUTER-AIDED ENGINEERING, 30(10), 202010
- Microwave Breast Imaging Using Rotational Bistatic Impulse Radar for the Detection of Breast Cancer: Protocol for a Prospective Diagnostic Study, JMIR RESEARCH PROTOCOLS, 9(10), 202010
- A Two-Stage Rotational Surface Clutter Suppression Method for Microwave Breast Imaging With Multistatic Impulse-Radar Detector, IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, 69(12), 9586-9598, 202012
- Microwave Breast Imaging Using Rotational Bistatic Impulse Radar for the Detection of Breast Cancer: Protocol for a Prospective Diagnostic Study, JOURNAL OF MEDICAL INTERNET RESEARCH, 22(10), 20201019
- WiEps: Measurement of Dielectric Property With Commodity WiFi Device-An Application to Ethanol/Water Mixture, IEEE INTERNET OF THINGS JOURNAL, 7(12), 11667-11677, 202012
- CMOS Gaussian Monocycle Pulse Transceiver for Radar-Based Microwave Imaging, IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS, 14(6), 1333-1345, 202012
- Precise detection of early breast tumor using a novel EEMD-based feature extraction approach by UWB microwave, MEDICAL & BIOLOGICAL ENGINEERING & COMPUTING, 59(3), 721-731, 202103
- Accurate construction of 3-D numerical breast models with anatomical information through MRI scans, COMPUTERS IN BIOLOGY AND MEDICINE, 130, 202103
- Precise Noninvasive Estimation of Glucose Using UWB Microwave With Improved Neural Networks and Hybrid Optimization, IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, 70, 2021
- Noninvasive Blood Glucose Level Detection Based on Matrix Pencil Method and Artificial Neural Network, JOURNAL OF ELECTRICAL ENGINEERING & TECHNOLOGY, 16(4), 2183-2190, 202107
- An adaptive window-based hybrid artifact removal method for Ultra-Wide Band imaging enhancement of early breast cancer detection, BIOMEDICAL SIGNAL PROCESSING AND CONTROL, 70, 202109
- Feasibility of Portable Microwave Imaging Device for Breast Cancer Detection, DIAGNOSTICS, 12(1), 202201
Publications such as books
- 1984/01, ’Improved Transistor Structure in Power Integrated Circuits’, Power Integrated Circuits? (edited by Paolo Antognetti) , McGraw-Hill Book Company, 1984, 1
- 2004, Silicide Technology for Integrated Circuits, Cobalt silicide technology, The Institute of Electrica and Engineers, 2004, 1, Scholarly Book, Joint work, English, T. Kikkawa, K. Inoue, K. Imai, 0 6341 52 8, 279, 17
Awards
- 2004/10, The Sevefnth International Conference on Solid-State and Integrated Circuit Technology Best Poster Paper Award, International Conference on -State and Integrated Circuit Te, Young's modulus characterization for the fragile low-k films by the improved surface accoustic wave technique