坂上 弘之Hiroyuki Sakaue

Last Updated :2024/04/03

所属・職名
大学院先進理工系科学研究科 助教
メールアドレス
hsakauehiroshima-u.ac.jp
その他連絡先
東広島市鏡山1-3-1 先端物質科学研究科301A号室
TEL:082-424-7683
自己紹介
金属ナノ粒子のデバイスへの応用を研究しています。

基本情報

主な職歴

  • 2001年04月01日, 2020年03月31日, 広島大学, 大学院先端物質科学研究科, 助教
  • 1989年10月01日, 2001年03月31日, 広島大学, 工学部, 助手

学歴

  • 広島大学, 工学研究科, 材料工学, 日本, 1987年04月, 1989年03月
  • 広島大学, 工学部, 第二類(電気系), 日本, 1983年04月, 1987年03月

学位

  • 博士(工学) (広島大学)
  • 工学修士 (広島大学)

教育担当

  • 【学士課程】 工学部 : 第二類(電気電子・システム情報系) : 電子システムプログラム
  • 【博士課程前期】 先進理工系科学研究科 : 先進理工系科学専攻 : 量子物質科学プログラム
  • 【博士課程後期】 先進理工系科学研究科 : 先進理工系科学専攻 : 量子物質科学プログラム

研究分野

  • 工学 / 電気電子工学 / 電子・電気材料工学

研究キーワード

  • 表面反応
  • 自己組織化
  • 半導体表面
  • 湿式処理
  • 太陽電池
  • 金属ナノ粒子

所属学会

教育活動

授業担当

  1. 2024年, 学部専門, セメスター(前期), 電気電子システム工学実験I
  2. 2024年, 学部専門, セメスター(前期), 電気電子システム工学実験I
  3. 2024年, 学部専門, セメスター(後期), 電気電子システム工学実験II
  4. 2024年, 学部専門, セメスター(後期), 電気電子システム工学実験II
  5. 2024年, 学部専門, セメスター(前期), 電気工学基礎実験I
  6. 2024年, 学部専門, セメスター(前期), 電気工学基礎実験I
  7. 2024年, 学部専門, セメスター(後期), 電気工学基礎実験II
  8. 2024年, 学部専門, セメスター(後期), 電気工学基礎実験II
  9. 2024年, 学部専門, 通年, 卒業論文
  10. 2024年, 修士課程・博士課程前期, セメスター(前期), 電子工学セミナーA
  11. 2024年, 修士課程・博士課程前期, セメスター(後期), 電子工学セミナーB
  12. 2024年, 修士課程・博士課程前期, 年度, 電子工学プレゼンテーション演習
  13. 2024年, 修士課程・博士課程前期, 1ターム, 電子工学特別演習A
  14. 2024年, 修士課程・博士課程前期, 2ターム, 電子工学特別演習A
  15. 2024年, 修士課程・博士課程前期, 3ターム, 電子工学特別演習B
  16. 2024年, 修士課程・博士課程前期, 4ターム, 電子工学特別演習B
  17. 2024年, 修士課程・博士課程前期, 年度, 量子物質科学特別研究

研究活動

学術論文(★は代表的な論文)

  1. Chemical Structural Analysis of Diamondlike Carbon Films with Different Electrical Resistivities by X-ray Photoelectron Spectroscopy, JAPANESE JOURNAL OF APPLIED PHYSICS, 47巻, 5号, pp. 3376-3379, 200812
  2. Annealing effect on the chemical structure of diamondlike carbon, JOURNAL OF APPLIED PHYSICS, 104巻, 4号, 20080815
  3. Direct fabrication of nanopores in a metal foil using focused ion beam with in situ measurements of the penetrating ion beam current, REVIEW OF SCIENTIFIC INSTRUMENTS, 80巻, 12号, 200912
  4. Flipping Behavior of a Porphyrin Derivative Molecule on a Au(111) Reconstructed Surface, JOURNAL OF PHYSICAL CHEMISTRY C, 115巻, 25号, pp. 12414-12418, 20110630
  5. Flipping Behavior of a Porphyrin Derivative Molecule on a Au(111) Reconstructed Surface, JOURNAL OF PHYSICAL CHEMISTRY C, 115巻, 25号, pp. 12414-12418, 20110630
  6. Simple Method of Synthesizing Nickel-Nitrilotriacetic Acid Gold Nanoparticles with a Narrow Size Distribution for Protein Labeling, JAPANESE JOURNAL OF APPLIED PHYSICS, 50巻, 9号, 201109
  7. Simple Method of Synthesizing Nickel-Nitrilotriacetic Acid Gold Nanoparticles with a Narrow Size Distribution for Protein Labeling, JAPANESE JOURNAL OF APPLIED PHYSICS, 50巻, 9号, 201109
  8. Climbing Rates of Microtubules Propelled by Dynein after Collision with Microfabricated Walls, JAPANESE JOURNAL OF APPLIED PHYSICS, 51巻, 2号, 201202
  9. Digital Chemical Vapor Deposition of SiO2 Using a Repetitive Reaction of Triethylsilane/Hydrogen and Oxidation, Jpn. J. Appl. Phys., 30巻, pp. L124-L127, 19910401
  10. SiプロセスとSi-H-FTIR-ATRによる表面反応過程の研究-, 表面科学, 13巻, pp. 358-364, 19920401
  11. Si Etching Employing Steady-State Magnetron Plasma with Magnet at Anode Centered in Cylindrical Reactor, Jpn. J. Appl. Phys., 31巻, 12B号, pp. 4338-4342, 19921201
  12. Aluminum-Selective Chemical Vapor Deposition Induced by Hydrogen Desorption on Silicon, Jpn. J. Appl. Phys., 35巻, 2B号, pp. 1010-1013, 19960201
  13. Excimer Laser Induced Pattern Projection Etching of Aluminum, Symp. on Dry Process, 19880401
  14. Digital Etching of Silicon, 1990 3rd Micro Process Conf., 19900401
  15. Study on Reaction Mechanism of Al Selective CVD with in-situ XPS Measurement, 1990 3rd Micro Process Conf., 19900401
  16. Conformable CVD of SiO_2_ into Deep Trench Using the Digral Method, 22nd (1990 International) Conf. on Solid State Devices and Materials, 19900401
  17. Conformal Chemical Vapor Deposition of Insulator Films Employig Digital Method, Symp. on Dry Process, 19900401
  18. In-situ X-ray Photoelectron Spectrocopy Observation on Reactive Etched Surface of Indium-Tin Oxide Film Employing Alcohol Gas, Symp. on Dry Process, 19910401
  19. Diagnostic of Hydrogen Role on Si Surface Reaction processes Employing in-situ FTIR-ATR, 1991 4th International Microprocess Conf., 19910701
  20. Excimer Laser Enhanced Reactive Ion Etching, Laser Advanced Materials Processing(LAMP’92), 19920401
  21. Si Etching Employing Steady-State Magnetron Plasma with Magnet at Anode Centered in Cylindrical Reactor, 5th International MicroProcess Conf., 19920401
  22. Digital CVD of Si Oxide/Nitride and Study on its Surface Reaction, Mat. Res. Soc. Fall Meeting, 19920401
  23. Spontaneous Etching of SiO_2_ Employing Downstream Plasma, 183rd Meeting of Electrochem. Soc., 19930401
  24. Reflective Absorption Spectroscopy of Reaction Process of Silicon Surface with Fluorine Radicals, 7th Intern. MicroProcess Conf., 19940701
  25. Low Energy Bias Sputtering Filling of SiO2 into High Aspect Ratio Trench Employing Axially Confined Helicon Wave Plasma, 1994 International Conf. on Solid State Devices and Materials, pp. 643-645, 19940801
  26. Study of Fluorination Process of H-terminated Si Surface by Xenon Fluoride Exposure, The 8th Intern. MicroProcess Conf., pp. 172-173, 19950701
  27. Al-Selective CVD Induced by Hydrogen Desorption on Si, 1995 International Conf. on Solid State Devices and Materials, pp. 926-928, 19950801
  28. 固体表面分析(II), 講談社, pp. 482-492, 19950401
  29. Highly Selective SiO2 Etching Using CF4/C2H4, Jpn. J. Appl. Phys., 36巻, 4B号, pp. 2477-2481, 19970401
  30. Scanning Tunneling Microscopy Observation on the Atomic structures of Step Edges and Etch Pits on NH4F-Treated Si(111) Surface, 1996 International Conf. on Solid State Devices and Materials, pp. 392-394, 19960801
  31. Highly Selective SiO2 Etching Using CF4/C2H4, Proc. of Symp. on Dry Process, pp. 141-146, 19961101
  32. Al Nano-Structure Formation using Selective Reactivity of Step/Terrace Structure of Hydrogen-terminated Si(111) Surface, 4th International Symp. on Atomically Controlled Surfaces and Interfaces, pp. 345, 19971001
  33. Self-Organization of Periodic Step/Terrace Structure on Hydrogen-Terminated Si Surface, JRCAT International Workshop on Science and Technology of Hydrogen-Terminated Silicon Surfaces, pp. 11-12, 19971101
  34. Wafer-Scale Self-Organization of Periodic Step/Terrace Structure on Hydrogen-Terminated Si Surface, 1998 International Conf.on Solid State Devices and Materials, pp. 434-435, 19980901
  35. Perfect Control of Hydrogen-Terminated Silicon Wafer Surface, Proc.of 9th International Conference on Production Engineering, pp. 871-876, 19990901
  36. Low Dielectric Constant Porous Diamond Film Composed of Diamond Nano-Particles, Abstracts of Advanced Metallization Conf. US Session(AMC2000), pp. 85-86, 20001001
  37. Low Dielectric Constant Porous Diamond Film Composed of Diamond Nano-Particles, Abstracts of Advanced Metallization Conf. Asian Session(ADMATA2000), pp. 175-176, 20001001
  38. Laser-Induced Pattern Projection Etching of Aluminum, Symp. on Dry Process (Tokyo= Nov. 1-2= 1990), pp. pp. 187 - 190, 19881001
  39. Digital Chemical Vapor Deposition and Etching Technologies for Semiconductor Processing, J. Vac. Sci. Technol. A, 8巻, pp. pp.1844-1850, 19900401
  40. Fabrication and Evaluation of Three-Dimensional Optically-Coupled Common Memory, 1994 International Conf. on Solid State Devices and Materials, pp. 965-966, 19940801
  41. GaAs/Si Optoelectronic Design and Development at Hiroshima University, Semiconductor Characterization, pp. 599-604, 19960401
  42. Fabrication and Evaluation of Three-Dimensional Optically-Coupled Common Memory, Jpn. J. Appl. Phys., 34巻, 2B号, pp. 1246-1248, 19950401
  43. GaAs/Si Optoelectronic Design and Development at Hiroshima Universit, Proc. Intern. Workshop of Semicon. Character.(Gaithersburg= USA), pp. 599-604, 19950401
  44. Fabrication and Evaluation of Three-Dimensional Optically-Coupled Common Memory, Extend. Abst. of Int. Conf. on Solid State Devices and Materials, pp. 965-966, 19940401
  45. Digital Chemical Vapor Deposition and Etching Technologies for Semiconductor Processing, J. Vac. Sci. Technol. A, 8巻, 3号, pp. 1844-1850, 19900301
  46. Study on Reaction Mechanism of Aluminum Selective Chemical Vapor Deposition With In-situ Xps Measurement, Jpn. J. Appl. Phys., 29巻, 11号, pp. 2657-2661, 19901101
  47. Atomic Layer Controlled Digital Etching of Silicon, Jpn. J. Appl. Phys., 29巻, 11号, pp. 2648-2652, 19901101
  48. Digital Chemical Vapor Deposition of SiO_2_, Appl. Phys. Lett=, 57巻, 11号, pp. 1096-1098, 19901101
  49. Filling of Si Oxide into a Deep Trench Using Digital CVD Method., Appl. Surf. Sci., 46巻, pp. 168-174, 19900701
  50. Low Energy Silicon Etching Technologies, Microelectronic Engineering, 13巻, 1-4号, pp. 417-424, 19910301
  51. Diagnostics of Hydrogen Role in The Si Surface Reaction Processes Employing In-situ Fourier Transform Infrared Attenuated Total Reflection, Jpn. J. Appl. Phys., 30巻, 11B号, pp. 3215-3218, 19911101
  52. In-situ X-Ray Photoelectron Spectroscopy of Reactive-Ion-Etched Surfaces of Indium-Tin Oxide Film Employing Alcohol Gas, Jpn. J. Appl. Phys., 31巻, 6B号, pp. 2006-2010, 19920601
  53. Digital Etching Study and Fabrication of Fine Si Lines and Dots, Thin Solid Films, 225巻, 1/2号, pp. 124-129, 19930101
  54. Recent Development of High Aspect Ratio Processes in ULSI Devices, J. Korean Phys. Soc., 26巻, pp. S75-S81, 19930401
  55. Digital Chemical Vapor Deposition of Silicon Oxide/Nitride and Its Surface Reaction Study, Mat. Res. Soc. Symp. Proc.=, 284巻, pp. 169-180, 19930401
  56. Al Etching Characteristics Employing Helicon Wave Plasma, Jpn. J. Appl. Phys., 32巻, 6B号, pp. 3019-3022, 19930601
  57. Photoexited Anisotropic Etching of Single-Crystalline Silicon, Jpn. J. Appl. Phys., 32巻, 7B号, pp. L1024-L1026, 19930701
  58. Electromigration Characteristics of Cu and Al Interconnections, Mater Reliab. Microelectron, 4巻, pp. 441-451, 19940401
  59. High Intensity Hydogen Lamp Employing Helicon Wave Plasma and Its Application to Si and SiO2 Etching, Appl. Surf. Sci., 79/80巻, pp. 495-501, 19941201
  60. Electromigration Characteristics of Cu-Al Precipitate In AlCu Interconnection, Jpn. J. Appl. Phys., 33巻, 7A号, pp. 3860-3863, 19940701
  61. Resistance Oscillations Induced by Direct Current Electromigration, Jpn. J. Appl. Phys., 34巻, 2B号, pp. 1030-1036, 19950201
  62. Fabrication and Evaluation of Three Dimensional Optically Coupled Common Memory, Jpn. J. Appl. Phys., 34巻, 2B号, pp. 1246-1248, 19950201
  63. Fabrication of a Si Nanometer Column PN Junction and Implanted Defect Evaluation by Transmission Electron Microscopy, Jpn. J. Appl. Phys., 35巻, 2B号, pp. 1045-1048, 19960201
  64. Ordered Two-Dimensional Nanowire Array Formation Using Self-Organized Nanoholes of Anodically Oxidized Aluminum, Jpn. J. Appl. Phys., 36巻, 12B号, pp. 7791-7795, 19971201
  65. Scanning Tunneling Microscopy Observation on The Atomic Structures of Step Edges and Etch Pits on a NH4F-Treated Si(111) Surface, Jpn. J. Appl. Phys., 36巻, 3B号, pp. 1420-1423, 19970301
  66. Self-Organization of a Two-Dimensional Array of Gold Nanodots Encapsulated by Alkanethiol, Jpn. J. Appl. Phys., 37巻, 12B号, pp. 7198-7201, 19981201
  67. Self-Organized Gold Nanodots Array On A Silicon Substrate And Its Mechanical Stability, Jpn. J. Appl. Phys., 38巻, 12A号, pp. L1488-L1490, 19991201
  68. Control of Interdot Space and Dot Size in a Two-Dimensional Gold Nanodot Array, Jpn. J. Appl. Phys., 38巻, 4B号, pp. L473-L476, 19990401
  69. Correlation Between Agglomeration of a Thin Film and Reflow Filling in a Contact Hole for Sputtered Al Films, J. Vac. Sci. Technol. B, 17巻, 6号, pp. 2553-2558, 19990601
  70. Two-Dimensional Nanoware Array Formation On Si Substrate Using Self-Organized Nanoholes of Anodically Oxidized Aluminum, Solid State Electron, 43巻, 6号, pp. 1143-1146, 19990601
  71. Electrical Properties of Self-Organized Nanostructures of Alkanethiol-Encapsulated Gold Particles, J. Vac. Sci. Technol. B, 18巻, 6号, pp. 2653-2657, 20000601
  72. Well Size Controlled Colloidal Gold Nanoparticles Dispersed in Organic Solvents, Jpn. J. Appl. Phys., 40巻, 1号, pp. 346-349, 20010101
  73. Formation of A Large Scale Langmuir-Blodgett Monolayer of Alkanethiol-Encapsulated Gold Particles, J. Vac. Sci. Technol. B, 19巻, 1号, pp. 115-120, 20010101
  74. ★, Atomic-Scale Defect Control on Hydrogen-Terminated Silicon Surface at Wafer Scale, Appl. Phys. Lett., 78巻, 3号, pp. 309-311, 20010101
  75. Low Dielectric Constant Porous Diamond Film Composed of Diamond Nano-Particles, Mat. Res. Soc. Symp. Proc., ULSI-XVI巻, pp. 647-652, 20011001
  76. Effect of Pd Catalyst Adsorption on Cu Filling Characteristics in Electroless Plating, Mat. Res. Soc. Symp. Proc., ULSI-XVI巻, pp. 229-234, 20011101
  77. TEM Observation of the Damages in Heavily Ion-implanted Fine Si Columns, Mat. Res. Soc. Symp. Proc., 354巻, pp. 641-646., 19950401
  78. GaAs/Si Optoelectronic Design and Development at Hiroshima University, International Workshop: Semiconductor Characterization, pp. 1-5, 19950401
  79. Adsorbed Water on a Silicon Wafer Surface Exposed to Atmosphere, Jpn. J. Appl. Phys., 40巻, 11号, pp. 6198-6201., 20011101
  80. Electroless Plating of Cu Initiated by Displacement Reaction on Metal-Nitride Diffusion Barriers, Electrochem. Solid-State Letters, 6巻, 3号, pp. C38-C41, 20030301
  81. Evaluation of Temperature Rise Due to Joule Heating and Preliminary Investigation of I’ts Effect on Electromigration Reliability, American Institute of Physics Proceedings, 612巻, pp. 94-104, 20020401
  82. Direct Electroless Plating of Copper on Barrier Metals, Proc. of the 2002 Internaional Interconnect Conference, pp. 176-178, 20020501
  83. Direct Electroless Copper Plating on Barrier Metals without Pd Catalyst, Mat. Res. Soc. Symp. Proc., ULSI-XVII巻, pp. 185-190, 20020401
  84. Improved Mechanical Strength of Porous Diamond Film by Silane coupler, Mat. Res. Soc. Symp. Proc., ULSI-XVII巻, pp. 313-318, 20020401
  85. DIRECT ELECTROLESS PLATING OF COPPER ON METAL NITRIDE DIFFUSION BARRIERS, The 19th VLSI Multilevel Interconnect Conference, pp. 147-155, 20021101
  86. Fabrication of two- and three-dimensional structures of nanoparticles using LB method and DNA hybridization, Mat. Res. Soc. Symp. Proc., 704巻, pp. 47-52, 20020401
  87. Experimental Condition for a Highly Ordered Monolayer of Gold Nanoparticles Fabrication by the Lagumuir-Blodgett Method, J. Vac. Sci. Technol. B, 19巻, 6号, pp. 2045-2049, 20010601
  88. Scanning Electron Microscope Observation of Heterogeneous Three-Dimensional Nanoparticle Array Using DNA, Jpn. J. Appl. Phys., 40巻, 5B号, pp. L521-L523, 20010501
  89. Computer-Aided Chemistry Estimation Method of Electronic-polarization Dielectric Constant for the Molecular Design of Low-k Materials, Jpn. J. Appl. Phys., 42巻, 1号, pp. 157-161, 20030101
  90. Improved Mechanical Strength of Porous Diamond Film by Silane Coupler, Abstracts of Advanced Metallization Conf. Asian Session (ADMATA2001), pp. 196-197, 20011001
  91. Low dielectric constant porous diamond films formed by diamond nanoparticles, Appl. Phys. Lett., 83巻, 11号, pp. 2226-2228, 20030901
  92. Improved Mechanical Strength of Porous Diamond Film by Silane Coupler, Abstracts of Advanced Metallization Conf.(AMC2001), pp. 196-197, 20011001
  93. Highly Adhesive Electroless Cu Layer Formation Using an Ultra Thin Ionized Cluster Beam (ICB)-Pd Catalytic Layer for Sub-100nm Cu Interconnections, Jpn. J. Appl. Phys., 42巻, 10B号, pp. L1223-L1225, 20031001
  94. Suppression of native oxide growth in sputtered TaN films and its application to Cu electroless plating, J. Appl. Phys., 94巻, 7号, pp. 4697-4701, 20030701
  95. Influence of Surface Oxide of Sputtered TaN on Displacement Plating of Cu, Jpn. J. Appl. Phys., 42巻, 4B号, pp. 1843-1846, 20030401
  96. Off- and On-Time Dependences of Electromigration MTF in Pulsed DC Stressing Tests, Mat. Res. Soc. Symp. Proc., ULSI-XVIII巻, pp. 279-284, 20030401
  97. Formation of Al Dot Hexagonal Array on Si Using Anodic Oxidation and Selective Etching, Jpn. J. Appl. Phys., 41巻, 3B号, pp. L340-L343, 20020301
  98. Formation of Al Nanodot Array by the Combination of Nano-Indentation and Anodic Oxidation, Mat. Res. Soc. Symp. Proc., 705巻, pp. 133-138, 20020401
  99. Fabrication of nanohole array on Si using self-organized porous alumina mask, J. Vac. Sci. Technol. B, 19巻, 5号, pp. 1901-1904, 20010501
  100. Study of a Dielectric Constant Due to Electronic Polarization Using a Semiemprical Molecular Orbital Method I, Jpn. J. Appl. Phys., 40巻, 8号, pp. 4829-4836, 20010801
  101. Wet Treatment for Preparing Atomically Smooth Si(100) Wafer Surface, Abstracts of 9th Int. Conf. on Formation of Semiconductor Interfaces (ICFSI-9), pp. 46, 20030901
  102. Preparation of Atomic-Scale Level Smooth Si(100) Surface using Wet Treatment, Abstracts of 7th Int. Conf. on Atomically Controlled Surfaces Interfaces and Nanostructures (ACSIN-7), pp. 228, 20031101
  103. Bottom-up Fill of Copper in High Aspect Ratio Via Holes by Electroless Plating, Technical Digest of IEEE IEDM, pp. 147-150, 20031201
  104. Electroless Copper Seed Activated by 1nm ICB-Pd Catalytic Layer for Fine Cu Interconnections, Proc. of Int. Conf. On Solid State Devices and Materials 2003, pp. 456-457, 20030901
  105. Fabrication of Ultra High Density Ferromagnetic Column Arrays by Porous Alumina Template for Magnetic Recording Media, Microprocess and Nanostructure Conf. 2003, pp. 62-63, 20031001
  106. Formation of 10 nm Continuous Cu Film in a Fine Hole by Electroless Plating for Seed Layer Application, Mat. Res. Soc. Symp. Proc., ULSI-XIX巻, pp. 567, 20040301
  107. Wet preparation of defect-free hydrogen-terminated silicon wafer surface and its characterization in atomic-scale, Solid State Phenomena, 76-77巻, pp. 105-110, 20010401
  108. Electromigration Reliability Study of a GMR Spin Valve Devices, Mat. Res. Soc. Symp. Proc., 563巻, pp. 145-150, 19990401
  109. 自己組織化ポーラスアルミナ・ナノホール配列に埋め込み形成した強磁性体ナノ構造体の結晶構造解析, 文部科学省 ナノテクノロジー総合支援プロジェクト Spring-8研究成果報告書, 3巻, pp. 42-44, 20040601
  110. Aspect Ratio Dependence of Magnetic Hysteresis Property of High Density Co Wire Array Buried In Porous Alumina Template, J. Magnetics and Magnetic Materials, 272-276巻, pp. 1598-1599, 20040601
  111. Bottom-up fill of Cu in deep submicron holes by electroless plating, Electrochem. Solid-State Letters, 7巻, pp. C78-C80, 20040601
  112. Bottom-up fill for submicrometer copper via holes of ULSIs by electroless plating, J. Electrochem. Soc., 151巻, 12号, pp. C781-C785, 20041201
  113. Effect of additives on hole filling characteristics of electroless copper plating, Jpn. J. Appl. Phys., 43巻, 10号, pp. 7000-7001, 20041001
  114. Thickness dependences of nucleation and annihilation fields of magnetic vortices in submicron supermalloy dots, Jpn. J. Appl. Phys., 42巻, 8号, pp. 5038-5039, 20030801
  115. Self-organization of a porous alumina nanohole array using a sulfuric/oxalic acid mixture as electrolyte, Electrochem. Solid-State Letters, 7巻, 3号, pp. E15-E17, 20040301
  116. Wet treatment for preparing atomically smooth Si(100) wafer surface, Appl. Surf. Sci., 234巻, pp. 439-444, 20040601
  117. Nano-patterning of Organic Molecules on H-terminated Si Surface by AFM, 8th International Conf. on Atomically Controlled Surfaces, Interfaces and Nanostructures, pp. 114, 20050601
  118. Nanometer-Scale Control of Film Thickness and Pattern Width of Alkyl SAM on H-Terminated Si(111) Surface, International Symp. on Surface Science and Nanotechnology, pp. 536, 20051101
  119. Epitaxial Growth of Cu Nanodot Arrays Using an AAO Template on a Si Substrate, Electrochem. Solid-State Letters, 9巻, 4号, pp. J13-J16, 20060401
  120. Surface Cleaning of PET Films with an Atmospheric Pressure Dielectric Barrier Discharge, Abstracts of 8th Asia-Pacific Conference on Plasma Science and Technology, pp. 197, 20060701
  121. Experimental Study of Temperatures of Atmospheric-Pressure Nonequilibrium Ar/N2 Plasma Jets and Poly (ethyleneterephtalate)-Surface Processing, Jpn. J. Appl. Phys., 46巻, 2号, pp. 795-798, 20070201
  122. Fabrication of the Ordered Dangling Bond Rows on Hydrogen Terminated Si(111) Surface with Periodic Step/Terrace Structure, Abstracts of 13th International Conf. on Solid Films and Surfaces, pp. 240 (PIII-55), 20061101
  123. Electrical Characteristics of Low Dielectric Porous Diamond Film Composed by Diamond Nano-particles, Abstracts of 13th International Conf. on Solid Films and Surfaces, pp. 47 (PI-02), 20061101
  124. Large Negative Resistance Property Observed in 3-D Network of DNA and Gold Nanoparticle Formed by DNA Mediated Self-organization, 2004 International Conf. on Solid State Devices and Materials, pp. 114-115, 20040801
  125. Immobilization of Gold Nanoparticles on Silanized Substrate for Sensors Based on Localized Surface Plasmon Resonance, e-J. Surf. Sci. Nanotech., 5巻, pp. 1-6, 20070101
  126. New method to calibrate binding energy using Au nanocolloids in X-ray photoelectron analysis of diamondlike carbon films with different electrical resistivities, Appl. Surf. Sci., 254巻, pp. 2666-2670, 20071101
  127. X線光電子分光法を用いたダイヤモンドライクカーボンの化学構造解析, 炭素, pp. 280-289, 20081001
  128. X-ray Photoelectron Analysis of Diamondlike Carbon (DLC) Films with Different Electric Resistivities, The 9th International Conference on Atomically Controlled Surfaces, Interfaces and Nanostructures (ACSIN-9), 20071111
  129. PHOTOELECTERON SPECTROSCOPIC ANALYSIS OF CHEMICAL STRUCTURE OF DIAMONDLIKE CARBON WITH DIFFERENT ELECTRICAL RESISTIVITIES, 2008 International Conference on Carbon (CARBON'08), 20080701
  130. Activation energy of flipping behavior of porphyrin derivative molecule on Au(111), Abs. 5th International Meeting on Molecular Electronics, pp. 326, 20101201
  131. Controlled motion of dynein-microtubule system by patterned resist polymer, Abs. 9th International Conference on Nano-Molecular Electronics, pp. 83, 20101201
  132. Flipping behavior of porphyrin derivative molecule on Au (111), Abs. 9th International Conference on Nano-Molecular Electronics, pp. 148, 20101201
  133. Chemical Structures of Ge (111) Surface Treated by Hydrogen Halide Aqueous Solutions, The 15th International Conf. on Solid Films and Surfaces (ICSFS-15, Beijing), pp. -, 20101001
  134. Controlled motion of dynein-microtubule system by patternd resist polymer, Abs. 9th International Conference on Nano-Molecular Electronics, pp. 83, 20101201
  135. Flipping behavior of porphyrin derivative molecule on Au (111), Abs. 9th International Conference on Nano-Molecular Electronics, pp. 148, 20101201
  136. Fabrication of dimer of silver nanoparticles for surface enhanced raman scattering, Colloids and Materials 2011, -巻, -号, pp. P3.95, 20110501
  137. Electrical properties of self-organized nanostructures of alkanethiol-encapsulated gold particles, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, 18巻, 6号, pp. 2653-2657, 2000
  138. Atomic-scale defect control on hydrogen-terminated silicon surface at wafer scale, APPLIED PHYSICS LETTERS, 78巻, 3号, pp. 309-311, 20010115
  139. Formation of a large-scale Langmuir-Blodgett monolayer of alkanethiol-encapsulated gold particles, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, 19巻, 1号, pp. 115-120, 2001
  140. Well-size-controlled colloidal gold nanoparticles dispersed in organic solvents, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 40巻, 1号, pp. 346-349, 200101
  141. Wet preparation of defect-free hydrogen-terminated silicon wafer surface and its characterization in atomic-scale, ULTRA CLEAN PROCESSING OF SILICON SURFACES 2000, 76-77巻, pp. 105-110, 2001
  142. Study of a dielectric constant due to electronic polarization using a semiempirical molecular orbital method I, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 40巻, 8号, pp. 4829-4836, 200108
  143. Scanning electron microscope observation of heterogeneous three-dimensional nanoparticle arrays using DNA, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS, 40巻, 5B号, pp. L521-L523, 20011215
  144. Fabrication of nanohole array on Si using self-organized porous alumina mask, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, 19巻, 5号, pp. 1901-1904, 2001
  145. Adsorbed water on a silicon wafer surface exposed to atmosphere, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 40巻, 11号, pp. 6198-6201, 200111
  146. Experimental conditions for a highly ordered monolayer of gold nanoparticles fabricated by the Langmuir-Blodgett method, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, 19巻, 6号, pp. 2045-2049, 2001
  147. Formation of Al dot hexagonal array on Si using anodic oxidation and selective etching, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS, 41巻, 3B号, pp. L340-L343, 20020315
  148. Optical spectroscopic studies of the dispersibility of gold nanoparticle solutions, JOURNAL OF APPLIED PHYSICS, 92巻, 12号, pp. 7486-7490, 20021215
  149. Electroless plating of copper on metal-nitride diffusion barriers initiated by displacement plating, ELECTROCHEMICAL AND SOLID STATE LETTERS, 6巻, 3号, pp. C38-C41, 200303
  150. Computer-aided chemistry estimation method of electronic-polarization dielectric constants for the molecular design of low-k materials, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 42巻, 1号, pp. 157-161, 200301
  151. Influence of surface oxide of sputtered TaN on displacement plating of Cu, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 42巻, 4B号, pp. 1843-1846, 200304
  152. Suppression of native oxide growth in sputtered TaN films and its application to Cu electroless plating, JOURNAL OF APPLIED PHYSICS, 94巻, 7号, pp. 4697-4701, 20031001
  153. Thickness dependences of nucleation and annihilation fields of magnetic vortices in submicron supermalloy dots, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 42巻, 8号, pp. 5038-5039, 200308
  154. Self-organization of a porous alumina nanohole array using a sulfuric/oxalic acid mixture as electrolyte, ELECTROCHEMICAL AND SOLID STATE LETTERS, 7巻, 3号, pp. E15-E17, 2004
  155. Low dielectric constant porous diamond films formed by diamond nanoparticles, APPLIED PHYSICS LETTERS, 83巻, 11号, pp. 2226-2228, 20030915
  156. Highly adhesive electroless Cu layer formation using an ultra thin ionized cluster beam (ICB)-Pd catalytic layer for sub-100 nm Cu interconnections, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS & EXPRESS LETTERS, 42巻, 10B号, pp. L1223-L1225, 20031015
  157. Effects of the surface pressure on the formation of Langmuir-Blodgett monolayer of nanoparticles, LANGMUIR, 20巻, 6号, pp. 2274-2276, 20040316
  158. Bottom-up fill of copper in deep submicrometer holes by electroless plating, ELECTROCHEMICAL AND SOLID STATE LETTERS, 7巻, 6号, pp. C78-C80, 2004
  159. Aspect ratio dependence of hysteresis property of high density Co wire array buried in porous alumina template, JOURNAL OF MAGNETISM AND MAGNETIC MATERIALS, 272巻, pp. 1598-1599, 200412
  160. Wet treatment for preparing atomically smooth Si(100) wafer surface, APPLIED SURFACE SCIENCE, 234巻, 1-4号, pp. 439-444, 20040715
  161. Effect of additives on hole filling characteristics of electroless copper plating, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 43巻, 10号, pp. 7000-7001, 200410
  162. Bottom-up fill for submicrometer copper via holes of ULSIs by electroless plating, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 151巻, 12号, pp. C781-C785, 2004
  163. Contact resistance reduction using vacuum loadlock system and plasma dry cleaning, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 44巻, 6A号, pp. 3860-3863, 200506
  164. Characterization of electroless-plated Cu film over Pd catalytic layer formed by an ionized cluster beam, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 152巻, 10号, pp. C684-C687, 2005
  165. Fabrication of carbon nanotube and nanorod arrays using nanoporous templates, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 44巻, 7A号, pp. 5289-5291, 200507
  166. Epitaxial growth of Cu nanodot arrays using an AAO template on a Si substrate, ELECTROCHEMICAL AND SOLID STATE LETTERS, 9巻, 4号, pp. J13-J16, 2006
  167. Bottom-up copper fill with addition of mercapto alkyl carboxylic acid in electroless plating, ELECTROCHIMICA ACTA, 51巻, 12号, pp. 2442-2446, 20060225
  168. Experimental study of temperatures of atmospheric-pressure nonequilibrium Ar/N-2 plasma jets and poly(ethylene terephtalate)-surface processing, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 46巻, 2号, pp. 795-798, 200702
  169. New method to calibrate binding energy using Au nanocolloids in X-ray photoelectron analysis of diamondlike carbon films with different electrical resistivities, APPLIED SURFACE SCIENCE, 254巻, 9号, pp. 2666-2670, 20080228
  170. Surface Analysis of Carbon-Hydrogen Bonds in Diamondlike Carbon Films by X-ray Photoelectron Spectroscopy, JAPANESE JOURNAL OF APPLIED PHYSICS, 48巻, 9号, 200909
  171. X-ray photoelectron analysis of surface functional groups on diamond-like carbon films by gas-phase chemical derivatization method, SURFACE AND INTERFACE ANALYSIS, 42巻, 2号, pp. 77-87, 201002
  172. Study of Adsorption Behavior of Disulfide on the Surface of Au Nanoparticle by X-ray Photoelectron Spectroscopy, JAPANESE JOURNAL OF APPLIED PHYSICS, 52巻, 8号, 201308
  173. Atomic Layer Controlled Digital Etching of Silicon, Japanese Journal of Applied Physics, 29巻, 11号, pp. 2648-2652, 1990
  174. Quantitative analysis of adsorbed water on a germanium oxide surface, 13th European Vacuum Conference, 20140908
  175. Influence of surface hydrophobicity on self-spreading of lipid bilayer, 11th International Conference on Nano-Molecular Electronics, 20141217
  176. Density of immobilized quantum dots by a self-assembled monolayer, 11th International Conference on Nano-Molecular Electronics, 20141217
  177. Disorder into 2D ordered PCBM structures brought by additional deposition of CuPc, 11th International Conference on Nano-Molecular Electronics, 20141217
  178. Self-Spreading of Lipid Bilayer on a Hydrophobic Surface Made by Self-Assembled Monolayer with Short Alkyl Chain, Journal of Nanoscience and Nanotechnology, 16巻, 4号, pp. 3426-3430, 20160413
  179. Intermixing behaviors of PCBM with CuPc on Au(111) surface, Chemical Physics Letters, 661巻, pp. 215-218, 20160906
  180. Intermixing behaviors of PCBM with CuPc on Au(111) surface, CHEMICAL PHYSICS LETTERS, 661巻, pp. 215-218, 20160916
  181. Self-Spreading of Lipid Bilayer on a Hydrophobic Surface Made by Self-Assembled Monolayer with Short Alkyl Chain, JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY, 16巻, 4号, pp. 3426-3430, 201604
  182. Fabrication of the sulfur-terminated Ge(111) surface, 15th International Conf. on the Formation of Semiconductor Interfaces, 20151115
  183. Estimation of the Number of Quantum Dots Immobilized on an Ultra-flat Au Surface, NANOSCALE RESEARCH LETTERS, 12巻, 20170426

招待講演、口頭・ポスター発表等

  1. Fabrication of Ag substrate immobilized Ag nano-particles for high sensitivity Raman scattering spectroscopy, Koudai Tokiyasu, Hitoshi Suzuki, Hiroyuki Sakaue, 2019年10月28日, 通常, 英語, 広島市

社会活動

その他社会貢献活動(広大・部局主催含)

  1. 科学と生活のフェスティバル-体験しよう!ミクロの世界-, 広島大学, 科学と生活のフェスティバル, 1998年/07月/01日, 1998年/07月/20日, パネリスト