Hiroyuki Sakaue

Last Updated :2024/04/03

Affiliations, Positions
Graduate School of Advanced Science and Engineering, Assistant Professor
E-mail
hsakauehiroshima-u.ac.jp
Other Contact Details
1-3-1 Kagamiyama, Higashi-Hiroshima, Japan
TEL : (+81)82-424-7683 FAX : (+81)
Self-introduction
We investigate the metal nanoparticles for semiconductor devices.

Basic Information

Major Professional Backgrounds

  • 2001/04/01, 2020/03/31, Hiroshima University, Graduate School of Advanced Sciences and Matter, Assistant Professor
  • 1989/10/01, 2001/03/31, Hiroshima University, Faculty of Engineering, Research Associate

Educational Backgrounds

  • Hiroshima University, Graduate School of Engineering, Japan, 1987/04, 1989/03
  • Hiroshima University, Faculty of Engineering, Japan, 1983/04, 1987/03

Academic Degrees

  • Doctor of Engineering, Hiroshima University
  • Master of Engineering, Hiroshima University

Educational Activity

  • [Bachelor Degree Program] School of Engineering : Cluster 2(Electrical, Electronic and Systems Engineering) : Program of Electronic Devices and Systems
  • [Master's Program] Graduate School of Advanced Science and Engineering : Division of Advanced Science and Engineering : Quantum Matter Program
  • [Doctoral Program] Graduate School of Advanced Science and Engineering : Division of Advanced Science and Engineering : Quantum Matter Program

Research Fields

  • Engineering;Electrical and electronic engineering;Electronic materials / Electric materials

Research Keywords

  • Surface Reaction
  • self-organization
  • semiconducor surface
  • wet treatment
  • photovoltaic device
  • Matal nano-particle

Affiliated Academic Societies

Educational Activity

Course in Charge

  1. 2024, Undergraduate Education, First Semester, Experiments in Electrical Engineering Electronics and System Engineering I
  2. 2024, Undergraduate Education, First Semester, Experiments in Electrical Engineering Electronics and System Engineering I
  3. 2024, Undergraduate Education, Second Semester, Experiments in Electrical Engineering Electronics and System Engineering II
  4. 2024, Undergraduate Education, Second Semester, Experiments in Electrical Engineering Electronics and System Engineering II
  5. 2024, Undergraduate Education, First Semester, Basic Experiments in Electrical Engineering I
  6. 2024, Undergraduate Education, First Semester, Basic Experiments in Electrical Engineering I
  7. 2024, Undergraduate Education, Second Semester, Basic Experiments in Electrical Engineering II
  8. 2024, Undergraduate Education, Second Semester, Basic Experiments in Electrical Engineering II
  9. 2024, Undergraduate Education, Year, Graduation Thesis
  10. 2024, Graduate Education (Master's Program) , First Semester, Seminar on Electronics A
  11. 2024, Graduate Education (Master's Program) , Second Semester, Seminar on Electronics B
  12. 2024, Graduate Education (Master's Program) , Academic Year, Academic Presentation in Electronics
  13. 2024, Graduate Education (Master's Program) , 1Term, Exercises in Electronics A
  14. 2024, Graduate Education (Master's Program) , 2Term, Exercises in Electronics A
  15. 2024, Graduate Education (Master's Program) , 3Term, Exercises in Electronics B
  16. 2024, Graduate Education (Master's Program) , 4Term, Exercises in Electronics B
  17. 2024, Graduate Education (Master's Program) , Academic Year, Advanced Study in Quantum Matter

Research Activities

Academic Papers

  1. Chemical Structural Analysis of Diamondlike Carbon Films with Different Electrical Resistivities by X-ray Photoelectron Spectroscopy, JAPANESE JOURNAL OF APPLIED PHYSICS, 47(5), 3376-3379, 200812
  2. Annealing effect on the chemical structure of diamondlike carbon, JOURNAL OF APPLIED PHYSICS, 104(4), 20080815
  3. Direct fabrication of nanopores in a metal foil using focused ion beam with in situ measurements of the penetrating ion beam current, REVIEW OF SCIENTIFIC INSTRUMENTS, 80(12), 200912
  4. Flipping Behavior of a Porphyrin Derivative Molecule on a Au(111) Reconstructed Surface, JOURNAL OF PHYSICAL CHEMISTRY C, 115(25), 12414-12418, 20110630
  5. Flipping Behavior of a Porphyrin Derivative Molecule on a Au(111) Reconstructed Surface, JOURNAL OF PHYSICAL CHEMISTRY C, 115(25), 12414-12418, 20110630
  6. Simple Method of Synthesizing Nickel-Nitrilotriacetic Acid Gold Nanoparticles with a Narrow Size Distribution for Protein Labeling, JAPANESE JOURNAL OF APPLIED PHYSICS, 50(9), 201109
  7. Simple Method of Synthesizing Nickel-Nitrilotriacetic Acid Gold Nanoparticles with a Narrow Size Distribution for Protein Labeling, JAPANESE JOURNAL OF APPLIED PHYSICS, 50(9), 201109
  8. Climbing Rates of Microtubules Propelled by Dynein after Collision with Microfabricated Walls, JAPANESE JOURNAL OF APPLIED PHYSICS, 51(2), 201202
  9. Digital Chemical Vapor Deposition of SiO2 Using a Repetitive Reaction of Triethylsilane/Hydrogen and Oxidation, Jpn. J. Appl. Phys., 30, L124-L127, 19910401
  10. Si Etching Employing Steady-State Magnetron Plasma with Magnet at Anode Centered in Cylindrical Reactor, Jpn. J. Appl. Phys., 31(12B), 4338-4342, 19921201
  11. Aluminum-Selective Chemical Vapor Deposition Induced by Hydrogen Desorption on Silicon, Jpn. J. Appl. Phys., 35(2B), 1010-1013, 19960201
  12. Excimer Laser Induced Pattern Projection Etching of Aluminum, Symp. on Dry Process, 19880401
  13. Digital Etching of Silicon, 1990 3rd Micro Process Conf., 19900401
  14. Study on Reaction Mechanism of Al Selective CVD with in-situ XPS Measurement, 1990 3rd Micro Process Conf., 19900401
  15. Conformable CVD of SiO_2_ into Deep Trench Using the Digral Method, 22nd (1990 International) Conf. on Solid State Devices and Materials, 19900401
  16. Conformal Chemical Vapor Deposition of Insulator Films Employig Digital Method, Symp. on Dry Process, 19900401
  17. In-situ X-ray Photoelectron Spectrocopy Observation on Reactive Etched Surface of Indium-Tin Oxide Film Employing Alcohol Gas, Symp. on Dry Process, 19910401
  18. Diagnostic of Hydrogen Role on Si Surface Reaction processes Employing in-situ FTIR-ATR, 1991 4th International Microprocess Conf., 19910701
  19. Excimer Laser Enhanced Reactive Ion Etching, 19920401
  20. Si Etching Employing Steady-State Magnetron Plasma with Magnet at Anode Centered in Cylindrical Reactor, 5th International MicroProcess Conf., 19920401
  21. Digital CVD of Si Oxide/Nitride and Study on its Surface Reaction, Mat. Res. Soc. Fall Meeting, 19920401
  22. Spontaneous Etching of SiO_2_ Employing Downstream Plasma, 183rd Meeting of Electrochem. Soc., 19930401
  23. Reflective Absorption Spectroscopy of Reaction Process of Silicon Surface with Fluorine Radicals, 7th Intern. MicroProcess Conf., 19940701
  24. Low Energy Bias Sputtering Filling of SiO2 into High Aspect Ratio Trench Employing Axially Confined Helicon Wave Plasma, 1994 International Conf. on Solid State Devices and Materials, 643-645, 19940801
  25. Study of Fluorination Process of H-terminated Si Surface by Xenon Fluoride Exposure, The 8th Intern. MicroProcess Conf., 172-173, 19950701
  26. Al-Selective CVD Induced by Hydrogen Desorption on Si, 1995 International Conf. on Solid State Devices and Materials, 926-928, 19950801
  27. Highly Selective SiO2 Etching Using CF4/C2H4, Jpn. J. Appl. Phys., 36(4B), 2477-2481, 19970401
  28. Scanning Tunneling Microscopy Observation on the Atomic structures of Step Edges and Etch Pits on NH4F-Treated Si(111) Surface, 1996 International Conf. on Solid State Devices and Materials, 392-394, 19960801
  29. Highly Selective SiO2 Etching Using CF4/C2H4, Proc. of Symp. on Dry Process, 141-146, 19961101
  30. Al Nano-Structure Formation using Selective Reactivity of Step/Terrace Structure of Hydrogen-terminated Si(111) Surface, 4th International Symp. on Atomically Controlled Surfaces and Interfaces, 345, 19971001
  31. Self-Organization of Periodic Step/Terrace Structure on Hydrogen-Terminated Si Surface, JRCAT International Workshop on Science and Technology of Hydrogen-Terminated Silicon Surfaces, 11-12, 19971101
  32. Wafer-Scale Self-Organization of Periodic Step/Terrace Structure on Hydrogen-Terminated Si Surface, 1998 International Conf.on Solid State Devices and Materials, 434-435, 19980901
  33. Perfect Control of Hydrogen-Terminated Silicon Wafer Surface, Proc.of 9th International Conference on Production Engineering, 871-876, 19990901
  34. Low Dielectric Constant Porous Diamond Film Composed of Diamond Nano-Particles, Abstracts of Advanced Metallization Conf. US Session(AMC2000), 85-86, 20001001
  35. Low Dielectric Constant Porous Diamond Film Composed of Diamond Nano-Particles, Abstracts of Advanced Metallization Conf. Asian Session(ADMATA2000), 175-176, 20001001
  36. Laser-Induced Pattern Projection Etching of Aluminum, Symp. on Dry Process (Tokyo= Nov. 1-2= 1990), pp. 187 - 190, 19881001
  37. Digital Chemical Vapor Deposition and Etching Technologies for Semiconductor Processing, J. Vac. Sci. Technol. A, 8, pp.1844-1850, 19900401
  38. Fabrication and Evaluation of Three-Dimensional Optically-Coupled Common Memory, 1994 International Conf. on Solid State Devices and Materials, 965-966, 19940801
  39. GaAs/Si Optoelectronic Design and Development at Hiroshima University, Semiconductor Characterization, 599-604, 19960401
  40. Fabrication and Evaluation of Three-Dimensional Optically-Coupled Common Memory, Jpn. J. Appl. Phys., 34(2B), 1246-1248, 19950401
  41. GaAs/Si Optoelectronic Design and Development at Hiroshima Universit, Proc. Intern. Workshop of Semicon. Character.(Gaithersburg= USA), 599-604, 19950401
  42. Fabrication and Evaluation of Three-Dimensional Optically-Coupled Common Memory, Extend. Abst. of Int. Conf. on Solid State Devices and Materials, 965-966, 19940401
  43. Digital Chemical Vapor Deposition and Etching Technologies for Semiconductor Processing, J. Vac. Sci. Technol. A, 8(3), 1844-1850, 19900301
  44. Study on Reaction Mechanism of Aluminum Selective Chemical Vapor Deposition With In-situ Xps Measurement, Jpn. J. Appl. Phys., 29(11), 2657-2661, 19901101
  45. Atomic Layer Controlled Digital Etching of Silicon, Jpn. J. Appl. Phys., 29(11), 2648-2652, 19901101
  46. Digital Chemical Vapor Deposition of SiO_2_, Appl. Phys. Lett=, 57(11), 1096-1098, 19901101
  47. Filling of Si Oxide into a Deep Trench Using Digital CVD Method., Appl. Surf. Sci., 46, 168-174, 19900701
  48. Low Energy Silicon Etching Technologies, Microelectronic Engineering, 13(1-4), 417-424, 19910301
  49. Diagnostics of Hydrogen Role in The Si Surface Reaction Processes Employing In-situ Fourier Transform Infrared Attenuated Total Reflection, Jpn. J. Appl. Phys., 30(11B), 3215-3218, 19911101
  50. In-situ X-Ray Photoelectron Spectroscopy of Reactive-Ion-Etched Surfaces of Indium-Tin Oxide Film Employing Alcohol Gas, Jpn. J. Appl. Phys., 31(6B), 2006-2010, 19920601
  51. Digital Etching Study and Fabrication of Fine Si Lines and Dots, Thin Solid Films, 225(1/2), 124-129, 19930101
  52. Recent Development of High Aspect Ratio Processes in ULSI Devices, J. Korean Phys. Soc., 26, S75-S81, 19930401
  53. Digital Chemical Vapor Deposition of Silicon Oxide/Nitride and Its Surface Reaction Study, Mat. Res. Soc. Symp. Proc.=, 284, 169-180, 19930401
  54. Al Etching Characteristics Employing Helicon Wave Plasma, Jpn. J. Appl. Phys., 32(6B), 3019-3022, 19930601
  55. Photoexited Anisotropic Etching of Single-Crystalline Silicon, Jpn. J. Appl. Phys., 32(7B), L1024-L1026, 19930701
  56. Electromigration Characteristics of Cu and Al Interconnections, Mater Reliab. Microelectron, 4, 441-451, 19940401
  57. High Intensity Hydogen Lamp Employing Helicon Wave Plasma and Its Application to Si and SiO2 Etching, Appl. Surf. Sci., 79/80, 495-501, 19941201
  58. Electromigration Characteristics of Cu-Al Precipitate In AlCu Interconnection, Jpn. J. Appl. Phys., 33(7A), 3860-3863, 19940701
  59. Resistance Oscillations Induced by Direct Current Electromigration, Jpn. J. Appl. Phys., 34(2B), 1030-1036, 19950201
  60. Fabrication and Evaluation of Three Dimensional Optically Coupled Common Memory, Jpn. J. Appl. Phys., 34(2B), 1246-1248, 19950201
  61. Fabrication of a Si Nanometer Column PN Junction and Implanted Defect Evaluation by Transmission Electron Microscopy, Jpn. J. Appl. Phys., 35(2B), 1045-1048, 19960201
  62. Ordered Two-Dimensional Nanowire Array Formation Using Self-Organized Nanoholes of Anodically Oxidized Aluminum, Jpn. J. Appl. Phys., 36(12B), 7791-7795, 19971201
  63. Scanning Tunneling Microscopy Observation on The Atomic Structures of Step Edges and Etch Pits on a NH4F-Treated Si(111) Surface, Jpn. J. Appl. Phys., 36(3B), 1420-1423, 19970301
  64. Self-Organization of a Two-Dimensional Array of Gold Nanodots Encapsulated by Alkanethiol, Jpn. J. Appl. Phys., 37(12B), 7198-7201, 19981201
  65. Self-Organized Gold Nanodots Array On A Silicon Substrate And Its Mechanical Stability, Jpn. J. Appl. Phys., 38(12A), L1488-L1490, 19991201
  66. Control of Interdot Space and Dot Size in a Two-Dimensional Gold Nanodot Array, Jpn. J. Appl. Phys., 38(4B), L473-L476, 19990401
  67. Correlation Between Agglomeration of a Thin Film and Reflow Filling in a Contact Hole for Sputtered Al Films, J. Vac. Sci. Technol. B, 17(6), 2553-2558, 19990601
  68. Two-Dimensional Nanoware Array Formation On Si Substrate Using Self-Organized Nanoholes of Anodically Oxidized Aluminum, Solid State Electron, 43(6), 1143-1146, 19990601
  69. Electrical Properties of Self-Organized Nanostructures of Alkanethiol-Encapsulated Gold Particles, J. Vac. Sci. Technol. B, 18(6), 2653-2657, 20000601
  70. Well Size Controlled Colloidal Gold Nanoparticles Dispersed in Organic Solvents, Jpn. J. Appl. Phys., 40(1), 346-349, 20010101
  71. Formation of A Large Scale Langmuir-Blodgett Monolayer of Alkanethiol-Encapsulated Gold Particles, J. Vac. Sci. Technol. B, 19(1), 115-120, 20010101
  72. ★, Atomic-Scale Defect Control on Hydrogen-Terminated Silicon Surface at Wafer Scale, Appl. Phys. Lett., 78(3), 309-311, 20010101
  73. Low Dielectric Constant Porous Diamond Film Composed of Diamond Nano-Particles, Mat. Res. Soc. Symp. Proc., ULSI-XVI, 647-652, 20011001
  74. Effect of Pd Catalyst Adsorption on Cu Filling Characteristics in Electroless Plating, Mat. Res. Soc. Symp. Proc., ULSI-XVI, 229-234, 20011101
  75. TEM Observation of the Damages in Heavily Ion-implanted Fine Si Columns, Mat. Res. Soc. Symp. Proc., 354, 641-646., 19950401
  76. GaAs/Si Optoelectronic Design and Development at Hiroshima University, International Workshop: Semiconductor Characterization, 1-5, 19950401
  77. Adsorbed Water on a Silicon Wafer Surface Exposed to Atmosphere, Jpn. J. Appl. Phys., 40(11), 6198-6201., 20011101
  78. Electroless Plating of Cu Initiated by Displacement Reaction on Metal-Nitride Diffusion Barriers, Electrochem. Solid-State Letters, 6(3), C38-C41, 20030301
  79. Direct Electroless Plating of Copper on Barrier Metals, Proc. of the 2002 Internaional Interconnect Conference, 176-178, 20020501
  80. Direct Electroless Copper Plating on Barrier Metals without Pd Catalyst, Mat. Res. Soc. Symp. Proc., ULSI-XVII, 185-190, 20020401
  81. Improved Mechanical Strength of Porous Diamond Film by Silane coupler, Mat. Res. Soc. Symp. Proc., ULSI-XVII, 313-318, 20020401
  82. DIRECT ELECTROLESS PLATING OF COPPER ON METAL NITRIDE DIFFUSION BARRIERS, The 19th VLSI Multilevel Interconnect Conference, 147-155, 20021101
  83. Fabrication of two- and three-dimensional structures of nanoparticles using LB method and DNA hybridization, Mat. Res. Soc. Symp. Proc., 704, 47-52, 20020401
  84. Experimental Condition for a Highly Ordered Monolayer of Gold Nanoparticles Fabrication by the Lagumuir-Blodgett Method, J. Vac. Sci. Technol. B, 19(6), 2045-2049, 20010601
  85. Scanning Electron Microscope Observation of Heterogeneous Three-Dimensional Nanoparticle Array Using DNA, Jpn. J. Appl. Phys., 40(5B), L521-L523, 20010501
  86. Computer-Aided Chemistry Estimation Method of Electronic-polarization Dielectric Constant for the Molecular Design of Low-k Materials, Jpn. J. Appl. Phys., 42(1), 157-161, 20030101
  87. Improved Mechanical Strength of Porous Diamond Film by Silane Coupler, Abstracts of Advanced Metallization Conf. Asian Session (ADMATA2001), 196-197, 20011001
  88. Low dielectric constant porous diamond films formed by diamond nanoparticles, Appl. Phys. Lett., 83(11), 2226-2228, 20030901
  89. Improved Mechanical Strength of Porous Diamond Film by Silane Coupler, Abstracts of Advanced Metallization Conf.(AMC2001), 196-197, 20011001
  90. Highly Adhesive Electroless Cu Layer Formation Using an Ultra Thin Ionized Cluster Beam (ICB)-Pd Catalytic Layer for Sub-100nm Cu Interconnections, Jpn. J. Appl. Phys., 42(10B), L1223-L1225, 20031001
  91. Suppression of native oxide growth in sputtered TaN films and its application to Cu electroless plating, J. Appl. Phys., 94(7), 4697-4701, 20030701
  92. Influence of Surface Oxide of Sputtered TaN on Displacement Plating of Cu, Jpn. J. Appl. Phys., 42(4B), 1843-1846, 20030401
  93. Off- and On-Time Dependences of Electromigration MTF in Pulsed DC Stressing Tests, Mat. Res. Soc. Symp. Proc., ULSI-XVIII, 279-284, 20030401
  94. Formation of Al Dot Hexagonal Array on Si Using Anodic Oxidation and Selective Etching, Jpn. J. Appl. Phys., 41(3B), L340-L343, 20020301
  95. Formation of Al Nanodot Array by the Combination of Nano-Indentation and Anodic Oxidation, Mat. Res. Soc. Symp. Proc., 705, 133-138, 20020401
  96. Fabrication of nanohole array on Si using self-organized porous alumina mask, J. Vac. Sci. Technol. B, 19(5), 1901-1904, 20010501
  97. Study of a Dielectric Constant Due to Electronic Polarization Using a Semiemprical Molecular Orbital Method I, Jpn. J. Appl. Phys., 40(8), 4829-4836, 20010801
  98. Wet Treatment for Preparing Atomically Smooth Si(100) Wafer Surface, Abstracts of 9th Int. Conf. on Formation of Semiconductor Interfaces (ICFSI-9), 46, 20030901
  99. Preparation of Atomic-Scale Level Smooth Si(100) Surface using Wet Treatment, Abstracts of 7th Int. Conf. on Atomically Controlled Surfaces Interfaces and Nanostructures (ACSIN-7), 228, 20031101
  100. Bottom-up Fill of Copper in High Aspect Ratio Via Holes by Electroless Plating, Technical Digest of IEEE IEDM, 147-150, 20031201
  101. Electroless Copper Seed Activated by 1nm ICB-Pd Catalytic Layer for Fine Cu Interconnections, Proc. of Int. Conf. On Solid State Devices and Materials 2003, 456-457, 20030901
  102. Fabrication of Ultra High Density Ferromagnetic Column Arrays by Porous Alumina Template for Magnetic Recording Media, Microprocess and Nanostructure Conf. 2003, 62-63, 20031001
  103. Formation of 10 nm Continuous Cu Film in a Fine Hole by Electroless Plating for Seed Layer Application, Mat. Res. Soc. Symp. Proc., ULSI-XIX, 567, 20040301
  104. Wet preparation of defect-free hydrogen-terminated silicon wafer surface and its characterization in atomic-scale, Solid State Phenomena, 76-77, 105-110, 20010401
  105. Electromigration Reliability Study of a GMR Spin Valve Devices, Mat. Res. Soc. Symp. Proc., 563, 145-150, 19990401
  106. Aspect Ratio Dependence of Magnetic Hysteresis Property of High Density Co Wire Array Buried In Porous Alumina Template, J. Magnetics and Magnetic Materials, 272-276, 1598-1599, 20040601
  107. Bottom-up fill of Cu in deep submicron holes by electroless plating, Electrochem. Solid-State Letters, 7, C78-C80, 20040601
  108. Bottom-up fill for submicrometer copper via holes of ULSIs by electroless plating, J. Electrochem. Soc., 151(12), C781-C785, 20041201
  109. Effect of additives on hole filling characteristics of electroless copper plating, Jpn. J. Appl. Phys., 43(10), 7000-7001, 20041001
  110. Thickness dependences of nucleation and annihilation fields of magnetic vortices in submicron supermalloy dots, Jpn. J. Appl. Phys., 42(8), 5038-5039, 20030801
  111. Self-organization of a porous alumina nanohole array using a sulfuric/oxalic acid mixture as electrolyte, Electrochem. Solid-State Letters, 7(3), E15-E17, 20040301
  112. Wet treatment for preparing atomically smooth Si(100) wafer surface, Appl. Surf. Sci., 234, 439-444, 20040601
  113. Nano-patterning of Organic Molecules on H-terminated Si Surface by AFM, 8th International Conf. on Atomically Controlled Surfaces, Interfaces and Nanostructures, 114, 20050601
  114. Nanometer-Scale Control of Film Thickness and Pattern Width of Alkyl SAM on H-Terminated Si(111) Surface, International Symp. on Surface Science and Nanotechnology, 536, 20051101
  115. Epitaxial Growth of Cu Nanodot Arrays Using an AAO Template on a Si Substrate, Electrochem. Solid-State Letters, 9(4), J13-J16, 20060401
  116. Surface Cleaning of PET Films with an Atmospheric Pressure Dielectric Barrier Discharge, Abstracts of 8th Asia-Pacific Conference on Plasma Science and Technology, 197, 20060701
  117. Experimental Study of Temperatures of Atmospheric-Pressure Nonequilibrium Ar/N2 Plasma Jets and Poly (ethyleneterephtalate)-Surface Processing, Jpn. J. Appl. Phys., 46(2), 795-798, 20070201
  118. Fabrication of the Ordered Dangling Bond Rows on Hydrogen Terminated Si(111) Surface with Periodic Step/Terrace Structure, Abstracts of 13th International Conf. on Solid Films and Surfaces, 240 (PIII-55), 20061101
  119. Electrical Characteristics of Low Dielectric Porous Diamond Film Composed by Diamond Nano-particles, Abstracts of 13th International Conf. on Solid Films and Surfaces, 47 (PI-02), 20061101
  120. Large Negative Resistance Property Observed in 3-D Network of DNA and Gold Nanoparticle Formed by DNA Mediated Self-organization, 2004 International Conf. on Solid State Devices and Materials, 114-115, 20040801
  121. Immobilization of Gold Nanoparticles on Silanized Substrate for Sensors Based on Localized Surface Plasmon Resonance, e-J. Surf. Sci. Nanotech., 5, 1-6, 20070101
  122. New method to calibrate binding energy using Au nanocolloids in X-ray photoelectron analysis of diamondlike carbon films with different electrical resistivities, Appl. Surf. Sci., 254, 2666-2670, 20071101
  123. X-ray Photoelectron Analysis of Diamondlike Carbon (DLC) Films with Different Electric Resistivities, The 9th International Conference on Atomically Controlled Surfaces, Interfaces and Nanostructures (ACSIN-9), 20071111
  124. PHOTOELECTERON SPECTROSCOPIC ANALYSIS OF CHEMICAL STRUCTURE OF DIAMONDLIKE CARBON WITH DIFFERENT ELECTRICAL RESISTIVITIES, 2008 International Conference on Carbon (CARBON'08), 20080701
  125. Activation energy of flipping behavior of porphyrin derivative molecule on Au(111), Abs. 5th International Meeting on Molecular Electronics, 326, 20101201
  126. Controlled motion of dynein-microtubule system by patterned resist polymer, Abs. 9th International Conference on Nano-Molecular Electronics, 83, 20101201
  127. Flipping behavior of porphyrin derivative molecule on Au (111), Abs. 9th International Conference on Nano-Molecular Electronics, 148, 20101201
  128. Chemical Structures of Ge (111) Surface Treated by Hydrogen Halide Aqueous Solutions, The 15th International Conf. on Solid Films and Surfaces (ICSFS-15, Beijing), -, 20101001
  129. Controlled motion of dynein-microtubule system by patternd resist polymer, Abs. 9th International Conference on Nano-Molecular Electronics, 83, 20101201
  130. Flipping behavior of porphyrin derivative molecule on Au (111), Abs. 9th International Conference on Nano-Molecular Electronics, 148, 20101201
  131. Fabrication of dimer of silver nanoparticles for surface enhanced raman scattering, Colloids and Materials 2011, -(-), P3.95, 20110501
  132. Electrical properties of self-organized nanostructures of alkanethiol-encapsulated gold particles, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, 18(6), 2653-2657, 2000
  133. Atomic-scale defect control on hydrogen-terminated silicon surface at wafer scale, APPLIED PHYSICS LETTERS, 78(3), 309-311, 20010115
  134. Formation of a large-scale Langmuir-Blodgett monolayer of alkanethiol-encapsulated gold particles, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, 19(1), 115-120, 2001
  135. Well-size-controlled colloidal gold nanoparticles dispersed in organic solvents, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 40(1), 346-349, 200101
  136. Wet preparation of defect-free hydrogen-terminated silicon wafer surface and its characterization in atomic-scale, ULTRA CLEAN PROCESSING OF SILICON SURFACES 2000, 76-77, 105-110, 2001
  137. Study of a dielectric constant due to electronic polarization using a semiempirical molecular orbital method I, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 40(8), 4829-4836, 200108
  138. Scanning electron microscope observation of heterogeneous three-dimensional nanoparticle arrays using DNA, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS, 40(5B), L521-L523, 20011215
  139. Fabrication of nanohole array on Si using self-organized porous alumina mask, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, 19(5), 1901-1904, 2001
  140. Adsorbed water on a silicon wafer surface exposed to atmosphere, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 40(11), 6198-6201, 200111
  141. Experimental conditions for a highly ordered monolayer of gold nanoparticles fabricated by the Langmuir-Blodgett method, JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B, 19(6), 2045-2049, 2001
  142. Formation of Al dot hexagonal array on Si using anodic oxidation and selective etching, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS, 41(3B), L340-L343, 20020315
  143. Optical spectroscopic studies of the dispersibility of gold nanoparticle solutions, JOURNAL OF APPLIED PHYSICS, 92(12), 7486-7490, 20021215
  144. Electroless plating of copper on metal-nitride diffusion barriers initiated by displacement plating, ELECTROCHEMICAL AND SOLID STATE LETTERS, 6(3), C38-C41, 200303
  145. Computer-aided chemistry estimation method of electronic-polarization dielectric constants for the molecular design of low-k materials, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 42(1), 157-161, 200301
  146. Influence of surface oxide of sputtered TaN on displacement plating of Cu, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 42(4B), 1843-1846, 200304
  147. Suppression of native oxide growth in sputtered TaN films and its application to Cu electroless plating, JOURNAL OF APPLIED PHYSICS, 94(7), 4697-4701, 20031001
  148. Thickness dependences of nucleation and annihilation fields of magnetic vortices in submicron supermalloy dots, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 42(8), 5038-5039, 200308
  149. Self-organization of a porous alumina nanohole array using a sulfuric/oxalic acid mixture as electrolyte, ELECTROCHEMICAL AND SOLID STATE LETTERS, 7(3), E15-E17, 2004
  150. Low dielectric constant porous diamond films formed by diamond nanoparticles, APPLIED PHYSICS LETTERS, 83(11), 2226-2228, 20030915
  151. Highly adhesive electroless Cu layer formation using an ultra thin ionized cluster beam (ICB)-Pd catalytic layer for sub-100 nm Cu interconnections, JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS & EXPRESS LETTERS, 42(10B), L1223-L1225, 20031015
  152. Effects of the surface pressure on the formation of Langmuir-Blodgett monolayer of nanoparticles, LANGMUIR, 20(6), 2274-2276, 20040316
  153. Bottom-up fill of copper in deep submicrometer holes by electroless plating, ELECTROCHEMICAL AND SOLID STATE LETTERS, 7(6), C78-C80, 2004
  154. Aspect ratio dependence of hysteresis property of high density Co wire array buried in porous alumina template, JOURNAL OF MAGNETISM AND MAGNETIC MATERIALS, 272, 1598-1599, 200412
  155. Wet treatment for preparing atomically smooth Si(100) wafer surface, APPLIED SURFACE SCIENCE, 234(1-4), 439-444, 20040715
  156. Effect of additives on hole filling characteristics of electroless copper plating, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS SHORT NOTES & REVIEW PAPERS, 43(10), 7000-7001, 200410
  157. Bottom-up fill for submicrometer copper via holes of ULSIs by electroless plating, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 151(12), C781-C785, 2004
  158. Contact resistance reduction using vacuum loadlock system and plasma dry cleaning, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 44(6A), 3860-3863, 200506
  159. Characterization of electroless-plated Cu film over Pd catalytic layer formed by an ionized cluster beam, JOURNAL OF THE ELECTROCHEMICAL SOCIETY, 152(10), C684-C687, 2005
  160. Fabrication of carbon nanotube and nanorod arrays using nanoporous templates, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 44(7A), 5289-5291, 200507
  161. Epitaxial growth of Cu nanodot arrays using an AAO template on a Si substrate, ELECTROCHEMICAL AND SOLID STATE LETTERS, 9(4), J13-J16, 2006
  162. Bottom-up copper fill with addition of mercapto alkyl carboxylic acid in electroless plating, ELECTROCHIMICA ACTA, 51(12), 2442-2446, 20060225
  163. Experimental study of temperatures of atmospheric-pressure nonequilibrium Ar/N-2 plasma jets and poly(ethylene terephtalate)-surface processing, JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS, 46(2), 795-798, 200702
  164. New method to calibrate binding energy using Au nanocolloids in X-ray photoelectron analysis of diamondlike carbon films with different electrical resistivities, APPLIED SURFACE SCIENCE, 254(9), 2666-2670, 20080228
  165. Surface Analysis of Carbon-Hydrogen Bonds in Diamondlike Carbon Films by X-ray Photoelectron Spectroscopy, JAPANESE JOURNAL OF APPLIED PHYSICS, 48(9), 200909
  166. X-ray photoelectron analysis of surface functional groups on diamond-like carbon films by gas-phase chemical derivatization method, SURFACE AND INTERFACE ANALYSIS, 42(2), 77-87, 201002
  167. Study of Adsorption Behavior of Disulfide on the Surface of Au Nanoparticle by X-ray Photoelectron Spectroscopy, JAPANESE JOURNAL OF APPLIED PHYSICS, 52(8), 201308
  168. Atomic Layer Controlled Digital Etching of Silicon, Japanese Journal of Applied Physics, 29(11), 2648-2652, 1990
  169. Quantitative analysis of adsorbed water on a germanium oxide surface, 13th European Vacuum Conference, 20140908
  170. Influence of surface hydrophobicity on self-spreading of lipid bilayer, 11th International Conference on Nano-Molecular Electronics, 20141217
  171. Density of immobilized quantum dots by a self-assembled monolayer, 11th International Conference on Nano-Molecular Electronics, 20141217
  172. Disorder into 2D ordered PCBM structures brought by additional deposition of CuPc, 11th International Conference on Nano-Molecular Electronics, 20141217
  173. Self-Spreading of Lipid Bilayer on a Hydrophobic Surface Made by Self-Assembled Monolayer with Short Alkyl Chain, Journal of Nanoscience and Nanotechnology, 16(4), 3426-3430, 20160413
  174. Intermixing behaviors of PCBM with CuPc on Au(111) surface, Chemical Physics Letters, 661, 215-218, 20160906
  175. Intermixing behaviors of PCBM with CuPc on Au(111) surface, CHEMICAL PHYSICS LETTERS, 661, 215-218, 20160916
  176. Self-Spreading of Lipid Bilayer on a Hydrophobic Surface Made by Self-Assembled Monolayer with Short Alkyl Chain, JOURNAL OF NANOSCIENCE AND NANOTECHNOLOGY, 16(4), 3426-3430, 201604
  177. Fabrication of the sulfur-terminated Ge(111) surface, 15th International Conf. on the Formation of Semiconductor Interfaces, 20151115
  178. Estimation of the Number of Quantum Dots Immobilized on an Ultra-flat Au Surface, NANOSCALE RESEARCH LETTERS, 12, 20170426

Invited Lecture, Oral Presentation, Poster Presentation

  1. Fabrication of Ag substrate immobilized Ag nano-particles for high sensitivity Raman scattering spectroscopy, Koudai Tokiyasu, Hitoshi Suzuki, Hiroyuki Sakaue, Koudai Tokiyasu, Hitoshi Suzuki, Hiroyuki Sakaue, 32nd International Microprocesses and Nanotechnology Conference (MNC2019), 2019/10/28, Without Invitation, English, Hiroshima