黒木 伸一郎SHIN-ICHIRO KUROKI

Last Updated :2024/05/07

所属・職名
ナノデバイス・バイオ融合科学研究所 教授
ホームページ
メールアドレス
skurokihiroshima-u.ac.jp
その他連絡先
東広島市鏡山一丁目4番2号 ナノデバイス研究所306室
TEL:082-424-6267 FAX:082-424-3499
自己紹介
私の研究室では(1)シリコンカーバイド(SiC)を用いた極限環境エレクトロニクスと(2)シリコン薄膜トランジスタ の研究を進めています。放射線曝露下や超高温環境でも動作するシリコンカーバイド集積回路を構築することで、宇宙や深地下などの新しいフロンティアを切り拓くことを目指しています。現在特に福島第一原発の廃炉作業にも使用可能な、シリコンカーバイド・デバイスの研究開発を国内外の研究者と共に進めています。

基本情報

主な職歴

  • 2002年04月01日, 2005年03月31日, 広島大学, ナノデバイス・システム研究センター, 産学官連携研究員
  • 2005年04月01日, 2007年03月31日, 東北大学, 大学院工学研究科電子工学専攻, 助手
  • 2007年04月01日, 2012年03月31日, 東北大学, 大学院工学研究科電子工学専攻, 助教
  • 2013年10月, 2014年01月, スウェーデン王立工科大学/ KTH Royal Institute of Technology, 客員研究員
  • 2012年04月01日, 2019年02月28日, 広島大学, ナノデバイス・バイオ融合科学研究所, 准教授
  • 2016年06月, 2017年02月, スウェーデン王立工科大学/ KTH Royal Institute of Technology, 客員研究員(科研費・国際共同研究加速基金(国際共同研究強化))
  • 2019年03月01日, 広島大学, ナノデバイス・バイオ融合科学研究所, 教授
  • 2019年04月01日, 広島大学ナノデバイス・バイオ融合科学研究所, 副研究所長
  • 2019年04月01日, 広島大学大学院先端物質科学研究科, 副研究科長
  • 2019年04月01日, 文部科学省ナノテクノロジープラットフォーム, 微細加工実施機関・担当責任者
  • 2021年04月01日, 文部科学省マテリアル先端リサーチインフラ, 広島大学責任者

学歴

  • 広島大学, 理学研究科, 物理科学専攻, 日本, 1999年04月, 2002年03月

学位

  • 博士(理学) (広島大学)
  • 修士(理学) (広島大学)

教育担当

  • 【学士課程】 工学部 : 第二類(電気電子・システム情報系) : 電子システムプログラム
  • 【博士課程前期】 先進理工系科学研究科 : 先進理工系科学専攻 : 量子物質科学プログラム
  • 【博士課程後期】 先進理工系科学研究科 : 先進理工系科学専攻 : 量子物質科学プログラム

担当主専攻プログラム

  • 電子システムプログラム
  • 情報工学プログラム

研究分野

  • 工学 / 電気電子工学 / 電子デバイス・電子機器

研究キーワード

  • シリコンカーバイド集積回路・デバイス/ シリコンカーバイド・パワー半導体デバイス・モジュール/ シリコン薄膜トランジスタ/ ネフロンチップ/ 極限環境エレクトロニクス

所属学会

教育活動

授業担当

  1. 2024年, 学部専門, 1ターム, 論理システム設計
  2. 2024年, 学部専門, 通年, 卒業論文
  3. 2024年, 修士課程・博士課程前期, セメスター(前期), 電子工学セミナーA
  4. 2024年, 修士課程・博士課程前期, セメスター(後期), 電子工学セミナーB
  5. 2024年, 修士課程・博士課程前期, 年度, 電子工学プレゼンテーション演習
  6. 2024年, 修士課程・博士課程前期, 1ターム, 電子工学特別演習A
  7. 2024年, 修士課程・博士課程前期, 2ターム, 電子工学特別演習A
  8. 2024年, 修士課程・博士課程前期, 3ターム, 電子工学特別演習B
  9. 2024年, 修士課程・博士課程前期, 4ターム, 電子工学特別演習B
  10. 2024年, 修士課程・博士課程前期, 1ターム, LSI集積化工学
  11. 2024年, 修士課程・博士課程前期, 1ターム, エレクトロニクス概論
  12. 2024年, 修士課程・博士課程前期, 年度, 量子物質科学特別研究
  13. 2024年, 博士課程・博士課程後期, 年度, 量子物質科学特別研究
  14. 2024年, 博士課程・博士課程後期, 年度, 量子物質科学特別研究

研究活動

学術論文(★は代表的な論文)

  1. Influence of Gate Depletion Layer Width on Radiation Resistance of Silicon Carbide Junction Field-Effect Transistors, Quantum Beam Sci., 7巻, 31号, pp. 1-10, 20231011
  2. Integrated Fabrication Process of Si Microcantilever Using TMAH Solution With Planar Mask, JOURNAL OF MICROELECTROMECHANICAL SYSTEMS, 32巻, 3号, pp. 290-296, 202306
  3. Flexible and compact perspiration-monitoring system with 0.18 mu m BCD process and PDMS micro air-flow path, JAPANESE JOURNAL OF APPLIED PHYSICS, 62巻, SC号, 20230401
  4. Nanowire single-crystal grain and single grain boundary silicon field effect transistors for direct electrical characterization of grain boundaries, Applied Physics Express, 16巻, pp. 025502-1-025502-4, 20230209
  5. Integrated 4H-SiC Photosensors With Active Pixel Sensor-Type Circuits for MGy-Class Radiation Hardened CMOS UV Image Sensor, IEEE Electron Device Letters, 44巻, 1号, pp. 100-103, 20221202
  6. Hybrid Pixels With Si Photodiode and 4H-SiC MOSFETs Using Direct Heterogeneous Bonding Toward Radiation Hardened CMOS Image Sensors, IEEE ELECTRON DEVICE LETTERS, 43巻, 10号, pp. 1713-1716, 202210
  7. Amplifier Based on 4H-SiC MOSFET Operation at 500 degrees C for Harsh Environment Applications, IEEE TRANSACTIONS ON ELECTRON DEVICES, 69巻, 8号, pp. 4194-4199, 202208
  8. Threshold voltage instability and hysteresis in gamma-rays irradiated 4H-SiC junction field effect transistors, JOURNAL OF APPLIED PHYSICS, 131巻, 24号, 20220628
  9. Growth of vanadium doped semi-insulating 4H-SiC epilayer with ultrahigh-resistivity, JOURNAL OF APPLIED PHYSICS, 131巻, 24号, 20220628
  10. Observation of Metal-free Phthalocyanine Adsorbed on SiC Reconstructed Surface, E-JOURNAL OF SURFACE SCIENCE AND NANOTECHNOLOGY, 20巻, pp. 257-260, 20220804
  11. 500 degrees C high-temperature reliability of Ni/Nb ohmic contact on n-type 4H-SiC, JAPANESE JOURNAL OF APPLIED PHYSICS, 61巻, 3号, 20220301
  12. Characterization of Continuous-Wave Laser Crystallized Silicon Thin Films with Hole-Patterns, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 11巻, 5号, 20220501
  13. Output Characteristics of 4H-SiC Pixel Devices for Radiation Hardened UV CMOS Image Sensors, European Conference on Silicon Carbide and Related Materials2021, pp. Th-2A-02, 20211028
  14. Output Characteristics of SOI-Si/4H-SiC Hybrid Pixel Device for Radiation Hardend CMOS Image Sensors, European Conference on Silicon Carbide and Related Materials2021, pp. We-P-29, 20211027
  15. Effects of MOS charges on roll-off characteristics of 4H-SiC short channel n/p MOSFETs, European Conference on Silicon Carbide and Related Materials2021, pp. We-P-08, 20211027
  16. Coverage Enhancement of Si-SOI/4H-SiC Wafer Direct Bonding by SiO2 insertion, European Conference on Silicon Carbide and Related Materials2021, pp. Th-P-65, 20211026
  17. High-Selective Deep RIE of 4H-SiC with SiO2 Hard Mask in Cl2/HBr/O2 Plasma Chemistry, European Conference on Silicon Carbide and Related Materials2021, pp. Th-P-64, 20211026
  18. Thickness dependencies of SiO2/BaOx layers on interfacial properties of a layered gate dielectric on 4H-SiC, Materials Science in Semiconductor Processing, 121巻, 2021号, pp. 105343-1-105343-6, 20200825
  19. CF4:O2 surface etching for the improvement of contact resistance and high-temperature reliability in Ni/Nb ohmic contacts on n-type 4H-SiC, Japanese Journal of Applied Physics, 59巻, pp. 056501-1-056501-6, 20200429
  20. Effect of TMCTS silylation treatments on crosslinking density of low-k zeolite porous silica film, JAPANESE JOURNAL OF APPLIED PHYSICS, 58巻, 2号, 201902
  21. Thickness Dependences on Interfacial Properties of SiO2/BaO2 layers on 4H-SiC (0001), 8th International Symposium on Control of Semiconductor Interfaces (ISCSI-VIII), pp. WP2-12, 20191127
  22. Pixel Array Integration with SOI-Si photodiode and 4H-SiC MOSFETs for Radiation-Hardened image sensors, The International Conference on Silicon Carbide and Related Materials 2019 (ICSCRM2019), pp. Th.P.30, 20190929
  23. Suppression of Ion Channeling Effects in 4H-SiC Substrate by Tilt Angle Control of Ion Implantation, The International Conference on Silicon Carbide and Related Materials 2019 (ICSCRM2019), pp. MO.P.33, 20190929
  24. High Temperature Reliability of 4H-SiC Devices and Single Stage 4H-SiC MOSFET Amplifier at 400ºC, The International Conference on Silicon Carbide and Related Materials 2019 (ICSCRM2019), pp. MO.P.33, 2019
  25. 4H-SiC Pixel Device with UV Photodiode and MOSFETs for Radiation-Hardened UV Image Sensors, International Conference on Silicon Carbide and Related Materials 2019 (ICSCRM2019), pp. TH.P.44, 20190929
  26. Gamma-ray irradiation-induced mobility enhancement of 4H-SiC NMOSFETs with a Ba-silicate interface layer, JAPANESE JOURNAL OF APPLIED PHYSICS, 58巻, 8号, 20190801
  27. Characterization of Ba-Introduced Thin Gate Oxide on 4H-SiC, Mat. Sci. Forum, 963巻, pp. 451-455, 20190719
  28. Optimization of Ni/Nb Ratio for High-Temperature-Reliable Ni/Nb Silicide Ohmic Contact on 4H-SiC, Mat. Sci. Forum, 963巻, pp. 498-501, 20190719
  29. Suppression of Short-Channel Effects in 4H-SiC Trench MOSFETs, Mat. Sci. Forum, 963巻, pp. 613-616, 20190719
  30. Direct Bonding of 4H-SiC and SOI Wafers for Radiation-Hardened Image Sensors, Mat. Sci. Forum, 963巻, pp. 726-729, 20190719
  31. 4H-SiC Trench pMOSFETs for High-Frequency CMOS Inverters, Mat. Sci. Forum, 963巻, pp. 837-840, 20190719
  32. Dependence of thin film transistor characteristics on low-angle grain boundaries of (100)-oriented polycrystalline silicon thin films, JAPANESE JOURNAL OF APPLIED PHYSICS, 58巻, SB号, 20190401
  33. High-temperature reliability of Ni/Nb ohmic contacts on 4H-SiC for harsh environment applications, THIN SOLID FILMS, 669巻, pp. 306-314, 20190101
  34. Ni and Nb thickness dependence on low specific contact resistance and high-temperature reliability of ohmic contact to 4H-SiC, Jpn. J. Appl. Phys., 58巻, 2019
  35. Effect of Low Angle Grain Boundaries on Electron and Hole Mobility, Extended Abstracts of the 2018 International Conference on Solid State Devices and Materials, pp. 1257-1258, 20180909
  36. Suppression of Short-Channel Effects in 4H-SiC Trench MOSFETs, 12th European Conference on Silicon Carbide and Related Materials (ECSCRM2018), pp. MO-P-MO7, 20180902
  37. Characterization of Ba-introduced thin gate oxide on 4H-SiC, 12th European Conference on Silicon Carbide and Related Materials (ECSCRM2018), pp. TU-P-SO6, 20180902
  38. Hybrid Pixel Devices with SOI-Si photodiode and 4H-SiC MOSFETs for Radiation-Hardened Image Sensors, 12th European Conference on Silicon Carbide and Related Materials (ECSCRM2018), pp. TU-P-RQ11, 20180902
  39. Effects of High Gamma-Ray Radiation on 3C-SiC nMOSFETs, 12th European Conference on Silicon Carbide and Related Materials (ECSCRM2018), pp. TU-P-RQ10, 20180902
  40. Optimization of Ni/Nb Ratio for High-Temperature-Reliable Ni/Nb Silicide Ohmic Contact on 4H-SiC, 12th European Conference on Silicon Carbide and Related Materials (ECSCRM2018), pp. WE-P-CO3, 20180902
  41. 4H-SiC Trench pMOSFETs for High-Frequency CMOS Inverters, 12th European Conference on Silicon Carbide and Related Materials (ECSCRM2018), pp. TH-01-05, 20180902
  42. Electrical properties of Ti-Si-C Ohmic contact on ion-implanted n-type 4H-SiC C face, Mat. Sci. Forum, 924巻, pp. 409-412, 20180605
  43. Correlation between Field Effect Mobility and Accumulation Conductance at 4H-SiC MOS Interface with Barium, Mat. Sci. Forum, 924巻, pp. 477-481, 20180605
  44. Effects of CF4 surface etching on 4H-SiC MOS Capacitors, Mat. Sci. Forum, 924巻, pp. 465-468, 20180605
  45. 4H-SiC pMOSFETs with Al-doped S/D and NbNi silicide ohmic contacts, Mat. Sci. Forum, 924巻, pp. 423-427, 20180605
  46. Low-parasitic-capacitance self-aligned 4H-SiC nMOSFETs for harsh environment electronics, Mat. Sci. Forum, 924巻, pp. 971-974, 20180605
  47. Direct Bonding of 4H-SiC and SOI Wafers for Radiation-Hardened Image Sensors, 12th European Conference on Silicon Carbide and Related Materials (ECSCRM2018), pp. TU-P-RQ7, 20160902
  48. (100)面方位制御した超高移動度シリコン薄膜トランジスタの開発, 応用物理, 87巻, 6号, pp. 421-425, 201806
  49. Formation of (100)-oriented large polycrystalline silicon thin films with multiline beam continuous-wave laser lateral crystallization, JAPANESE JOURNAL OF APPLIED PHYSICS, 57巻, 3号, 201803
  50. Low-k Mesoporous Pure Silica Zeolite Synthesis with the Centrifugation Process of a Zeolite Precursor, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 7巻, 5号, pp. N67-N71, 2018
  51. Characterization of p-channel TFTs with (100)-oriented poly-Si thin film formed by multiline beam continuous-wave laser lateral crystallization, International Thin-Film Transistor Conference 2018 (ITC2018), pp. 14, 2018
  52. Formation of epitaxial Ti-Si-C Ohmic contact on 4H-SiC C face using pulsed-laser annealing, APPLIED PHYSICS LETTERS, 110巻, 25号, 20170619
  53. Enhanced-Oxidation and Interface Modification on 4H-SiC(0001) Substrate Using Alkaline Earth Metal, Materials Science Forum, 897巻, pp. 348-351, 20170515
  54. 4H-SiC Pseudo-CMOS Logic Inverters for Harsh Environment Electronics, Materials Science Forum, 897巻, pp. 669-672, 20170515
  55. Low Resistance Ti-Si-C Ohmic Contacts for 4H-SiC Power Devices Using Laser Annealing, Mat. Sci. Forum, 897巻, pp. 399-402, 20170515
  56. Transient observation of Peltier effect for PtSix-coated n-type silicon; cooler for 4H-SiC-based power devices, ECS Journal of Solid State Science and Technology, 6巻, 3号, pp. N3089-N3094, 20170201
  57. Back-side Illuminated GeSn Photodiode Array on Quartz Substrate Fabricated by Laser-induced Liquid-phase Crystallization for Monolithically-integrated NIR Imager Chip, 2017 International Electron Devices Meeting (IEDM) Technical Digest, pp. 393-396, 201712
  58. Peltier Effect of Silicon for Cooling 4H-SiC-based Power Devices, ECS Transaction, 80巻, 5号, pp. 77-85, 201710
  59. Calculation of Seebeck Coefficients for Advanced Heat Transfer Modules, ECS Transaction, 80巻, 5号, pp. 57-62, 201710
  60. Electrical properties of Ti-Si-C Ohmic contact on ion-implanted n-type 4H-SiC C face, The International Conference on Silicon Carbide and Related Materials 2017 (ICSCRM2017), Washington, D.C., USA, pp. TU.CP.7-1-TU.CP.7-2, 201709
  61. Effects of CF4 surface etching on 4H-SiC MOS Capacitors, The International Conference on Silicon Carbide and Related Materials 2017 (ICSCRM2017), Washington, D.C., USA, pp. WE.CP.9-1-WE.CP.9-2, 201709
  62. Correlation between field effect mobility and accumulation conductance at 4H-SiC MOS interface with barium, The International Conference on Silicon Carbide and Related Materials 2017 (ICSCRM2017), Washington, D.C., USA, pp. TH.CP.2-1-TH.CP.2-2, 201709
  63. Low-parasitic-capacitance self-aligned 4H-SiC nMOSFETs for harsh environment electronics, The International Conference on Silicon Carbide and Related Materials 2017 (ICSCRM2017), Washington, D.C., USA, pp. TH.CP.3-1-TH.CP.3-2, 201709
  64. 4H-SiC pMOSFETs with Al-doped S/D and NbNi silicide ohmic contacts, The International Conference on Silicon Carbide and Related Materials 2017 (ICSCRM2017), Washington, D.C., USA, pp. WE.DP.4-1-WE.DP.4-2, 201709
  65. Ultrahigh-performance (100)-oriented polycrystalline silicon thin-film transistors and their microscopic crystal structures, APPLIED PHYSICS EXPRESS, 10巻, 5号, 201705
  66. High Gamma Ray Tolerance for 4H-SiC Bipolar Circuits, IEEE Transactions on Nuclear Science, 64巻, 2号, pp. 852-858, 201702
  67. Formation of amorphous alloys on 4H-SiC with NbNi film using pulsed-laser annealing, Applied Physics Letters, 109巻, pp. 012101-1-012101-5, 20160707
  68. Characterization of Grapho-Silicidation on n+ 4H-SiC C-Face for Back Side Ohmic Contacts of Power Devices, ECS Journal of Solid State Science and Technology, 5巻, 9号, pp. P457-P460, 20160706
  69. Characterization of (100)-Dominantly Oriented Poly-Si Thin Film Transistors using Multi-Line Beam Continuous-Wave Laser Lateral Crystallization, ECS Transactions, 75巻, 10号, pp. 49-54, 201610
  70. Characterization of (100)-Dominantly Oriented Poly-Si Thin Film Transistors using Multi-Line Beam Continuous-Wave Laser Lateral Crystallization, PRiME2016, 230th Meeting of ECS, Honolulu, USA, pp. H03-2118-H03-2118, 201610
  71. Charge-Trap Inactivation of Multi-Line-Beam CLC poly-Si TFTs using Channel Impurity Doing, PRiME2016, 230th Meeting of ECS, Honolulu, USA, pp. H03-2117-H03-2117, 201610
  72. 4H-SiC Pseudo-CMOS Logic Inverters for Harsh Environment Electronics, Abstract book of 11th European Conference on Silicon Carbide and Related Materials (ECSCRM2016), Halkidiki, Greece, pp. 537-538, 201609
  73. Enhanced-oxidation and interface modification on 4H-SiC(0001) substrate using alkaline earth metal, Abstract book of 11th European Conference on Silicon Carbide and Related Materials (ECSCRM2016), Halkidiki, Greece, pp. 557-558, 201609
  74. Low resistance Ti-Si-C ohmic contacts for 4H-SiC power devices using Laser annealing, Abstract book of 11th European Conference on Silicon Carbide and Related Materials (ECSCRM2016), Halkidiki, Greece, pp. 561-562, 201609
  75. Low resistance ohmic contact formation on 4H-SiC c-face with NbNi silicidation using nano-second laser annealing, Mat. Sci. Forum, 858巻, pp. 549-552, 2016
  76. 4H-SiC nMOSFETs with As-doped S/D and NbNi Silicide ohmic contacts, Mat. Sci. Forum, 858巻, pp. 573-576, 2016
  77. Characterization of 4H-SiC nMOSFETs in Harsh Environments, High-Temperature and High Gamma-Ray Radiation, Mat. Sci. Forum, 858巻, pp. 864-867, 2016
  78. 3D Integration of Si-based Peltier device onto 4H-SiC power device, Mat. Sci. Forum, 858巻, pp. 1107-1111, 2016
  79. 4H-SiC MOSFETs for power and harsh environment electronics, Annual World Congress of Smart Materials 2016-Develop New Path of Smartness, pp. 381-381, 2016
  80. 4H-SiC MOSFETs and Logic Inverters for Radiation-Hardened Electronics, International Workshop on Radiation Resistant Sensors and Related Technologies for Nuclear Power Plant Decommissioning (R2SRT2016)(廃炉に向けた耐放射線性センサー及び関連研究に関する国際ワークショップ), pp. 36-37, 2016
  81. Poly-Si TFTs with One-dimensionally Long Silicon Crystal Grains Using DLB Continuous-wave Laser Lateral Crystallization, iMiD 2015: The 15th International Meeting on Information Display, pp. 250-250, 2015
  82. Characterization of 4H-SiC nMOSFETs in Harsh Environments; High Temperature and High Gamma-Ray Radiation, The International Conference on Silicon Carbide and Related Materials 2015(ICSCRM2015), pp. We-P-60, 2015
  83. 4H-SiC nMOSFETs with As-doped S/D and NbNi silicide ohmic contacts, The International Conference on Silicon Carbide and Related Materials 2015 (ICSCRM2015), pp. Mo-P-26, 2015
  84. Low resistance ohmic contact formation on 4H-SiC c-face with NbNi silicidation using nano-second laser annealing, The International Conference on Silicon Carbide and Related Materials 2015 (ICSCRM2015), pp. Mo-P-21, 2015
  85. 3D Integration of Si-based Peltier device onto 4H-SiC power device, The International Conference on Silicon Carbide and Related Materials 2015 (ICSCRM2015), pp. Mo-P-32, 2015
  86. Characterization of 4H-SiC nMOSFETs with As-doped S/D and NbNi Silicide Contacts After High Gamma-Ray Radiation, Proceedings of The 11th International Workshop on Radiation Effects on Semiconductor Devices for Space Applications (RASEDA2015), pp. 117-118, 2015
  87. ダブルラインビーム連続発振レーザラテラル結晶化による高性能poly-Si TFT (シリコン材料・デバイス), 電子情報通信学会技術研究報告 = IEICE technical report : 信学技報, 114巻, 1号, pp. 45-49, 20140410
  88. High performance Poly-Si TFTs with Highly Bi-axially Oriented Poly-Si Thin Films Using DLB Continuous-wave Laser Lateral Crystallization, Jpn. J. Appl. Phys, 53巻, pp. 03CC02 -1-03CC02 -4, 2014
  89. Low resistance Ohmic Contact Formation of Ni Silicide on Partially Si Ion Implanted n+ 4H-SiC, Mat. Sci. Forum, 778-780巻, pp. 689-692, 2014
  90. Complex permittivities of breast tumor tissues obtained from cancer surgeries, Appl. Phys. Lett., 104巻, pp. 253702-253702, 2014
  91. Phosphorous ion implantation into NiGe layer for Ohmic contact formation on n-type Ge,, Jpn. J. Appl. Phys, 53巻, pp. 08LD01-1-3 08LD01-5 , 2014
  92. Characteristics of Poly-Si Thin Film Transistors with Highly Biaxially Oriented Linearly Arranged Poly-Si Thin Films Using Double Line Beam Continuous-Wave Laser Lateral Crystallization, ECS Transactions, 64巻, 10号, pp. 39-44, 2014
  93. Characterization of Poly-Si TFTs with Highly Bi-Axially Oriented Poly-Si Thin Films Using DLB Continuous-Wave Laser Lateral Crystallization, 2014 MRS Spring Meeting, A17.06, San Francisco, April 25 , 2014
  94. Leakage Current Reduction of 4H-SiC Schottky Barrier Diode by Using Sacrificial Oxidation, 2014 MRS Spring Meeting, DD6.05, San Francisco, April 23 , 2014
  95. Effect of ozone radical treatment for high-performance poly-Si TFTs, The proceedings of The 21st International Workshop on Active-Matrix Flatpanel Displays and Devices, AM-FPD14巻, pp. 189-192, 2014
  96. Characteristics of Poly-Si Thin Film Transistors with Highly Biaxially Oriented Linearly Arranged Poly-Si Thin Films Using Double Line Beam Continuous-Wave Laser Lateral Crystallization, 226th Meeting of The Electrochemical Society, Q10 Thin Film Transistor 12 (TFT12), 1906, Cancun, Mexico, October 6 , 2014
  97. Area Expansion of Crystallized Si Films on YSZ Layers by Two-step Method in PLA, Proceedings of IDW'14, AMD8-2L, pp. 259-260, 2014
  98. Low-k Mesoporous Pure Silica Zeolite with High Elastic Modulus Using 1,3,5,7-Tetra-Methyl-Cyclo-Tetra-Siloxane and Ultraviolet Treatments, ECS J. Solid State Sci. Technol, 2巻, 4号, pp. N89-N92, 2013
  99. High performance poly-Si Thin Film Transistor with One-dimensionally Long Si Grains Using DLB Continuous-wave Laser Lateral Crystallization, The proceedings of The Twentieth International Workshop on Active-Matrix Flatpanel Displays and Devices , AM-FPD13巻, pp. 199-202, 2013
  100. Low-k Mesoporous Pure Silica Zeolite Synthesis with Centrifugation Process of Zeolite Precursor, Extended Abstracts of 2013 International Conference on Solid State Devices and Materials, pp. 858-859, 2013
  101. Low Ohmic Contact Formation of Ni Silicide on Partially Si Ion Implanted n+ 4H-SiC, Technical digest of The International Conference on Silicon Carbide and Related Materials 2013, ICSCRM2013巻, pp. Mo-P40-62, 2013
  102. Phosphorous Ion Implantation into NiGe Layer for Ohmic Contact Formation on n-Ge, 2013 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY, IWDTF13巻, pp. 101-102, 2013
  103. 学識経験者の寄稿 未来に責任もつ研究を・広島大学からの挑戦, ちゅうごく産業創造センター会報, 95号, pp. 5-8, 20121221
  104. Integration Process Development for Improved Compatibility with Organic Non-Porous Ultralow-k Dielectric Fluorocarbon on Advanced Cu Interconnects, Jpn J Appl Phys, 51巻, 5号, pp. 05EC03-05EC03-6, 20120525
  105. In-Plane Grain Orientation Alignment of Polycrystalline Silicon Films by Normal and Oblique-Angle Ion Implantations, Jpn J Appl Phys, 51巻, 4号, pp. 04DH03-04DH03-4, 20120425
  106. ダブルラインビーム連続発振レーザラテラル結晶化によるシリコン薄膜の3軸結晶制御とTFT, 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 112巻, 18号, pp. 27-32, 20120420
  107. ダブルラインビーム連続発振レーザラテラル結晶化によるシリコン薄膜の3軸結晶制御とTFT, 電子情報通信学会技術研究報告. OME, 有機エレクトロニクス, 112巻, 19号, pp. 27-32, 20120420
  108. ダブルラインビーム連続発振レーザラテラル結晶化によるシリコン薄膜の3軸結晶制御とTFT(薄膜(Si,化合物,有機,フレキシブル)機能デバイス・材料・評価技術及び一般), 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 112巻, 18号, pp. 27-32, 20120420
  109. Tri-Gate Polycrystalline Silicon Thin-Film Transistors Fabricated by Continuous-Wave Laser Lateral Crystallization with Improved Electron Transport Properties, Jpn J Appl Phys, 51巻, 2号, pp. 02BJ03-02BJ03-6, 20120225
  110. Tri-Gate Polycrystalline Silicon Thin Film Transistors Fabricated by Continuous-Wave Laser Lateral Crystallization for Improvement of Electron Transport Properties, Jpn. J. Appl. Phys., 51巻, pp. 02BJ03-1-02BJ03-6, 2012
  111. In-Plane Grain Orientation Alignment of Polycrystalline Si Films by Normal and Oblique-Angle Ion-Implantations, Jpn. J. Appl. Phys., 51巻, 4号, pp. 04DH03-1-04DH03-4, 2012
  112. Cu Single Damascene Integration of an Organic Nonporous Ultralow-k Fluorocarbon Dielectric Deposited by Microwave-Excited Plasma-Enhanced CVD, IEEE Trans. Electron Devices, 59巻, 5号, pp. 1445-1453, 2012
  113. Integration Process Development for Improved Compatibility with Organic Non-Porous Ultralow-k Dielectric Fluorocarbon on Advanced Cu Interconnects, Jpn. J. Appl. Phys., 51巻, pp. 05EC03-1-05EC03-6, 2012
  114. A novel chemically, thermally and electrically robust Cu interconnect structure with an organic non-porous ultralow-k dielectric fluorocarbon (k=2.2), Proceeding of 2012 IEEE Symposium on VLSI Technology, pp. 119-120, 2012
  115. Layered Low-k Porous Silica Zeolite Films for Inter-Metal Dielectrics with High Elastic Modulus, Extended Abstracts of 2012 International Conference on Solid State Devices and Materials, pp. 58-59, 2012
  116. Electrical Characteristics of Novel Non-porous Low-$k$ Dielectric Fluorocarbon on Cu Interconnects for 22 nm Generation and Beyond, Jpn J Appl Phys, 50巻, 5号, pp. 05EB02-05EB02-5, 20110525
  117. Strain-Induced Back Channel Electron Mobility Enhancement in Polycrystalline Silicon Thin-Film Transistors Fabricated by Continuous-Wave Laser Lateral Crystallization, Jpn J Appl Phys, 50巻, 4号, pp. 04DH10-04DH10-5, 20110425
  118. Strain-induced back channel electron mobility enhancement in polycrystalline silicon thin-film transistors fabricated by continuous-wave laser lateral crystallization (Special issue: Solid state devices and materials), Japanese journal of applied physics, 50巻, 4号, pp. 04DH10-1〜5, 201104
  119. Advanced Direct-polish Process on Organic Non-Porous Ultra Low-k Fluorocarbon Dielectric on Cu Interconnects, ECS Transactions, 34巻, 1号, pp. 653-658, 2011
  120. Strain-Induced Back Channel Electron Mobility Enhancement in Polycrystalline Silicon Thin-Film Transistors Fabricated by Continuous-Wave Laser Lateral Crystallization, Jpn. J. Appl. Phys., 50巻, pp. 04DH10-1-04DH10-5 , 2011
  121. Electrical Characteristics of Novel Non-porous Low-k Dielectric Fluorocarbon on Cu Interconnects for 22nm Generation and Beyond, Jpn. J. Appl. Phys., 50巻, pp. 05EB02-1-05EB02-5, 2011
  122. Seed-Free Fabrication of Highly Bi-Axially Oriented Poly-Si Thin Films by Continuous-Wave Laser Crystallization with Double-Line Beams, J. Electrochem. Soc., 158巻, 9号, pp. H924-H930, 2011
  123. Carrier transport and its variation of laser-lateral-crystallized Poly-Si TFTs, Electron. Lett., 47巻, 24号, pp. 1336-1338, 2011
  124. Bi-Axially Orientation-Controlled Si Thin Films on Glass Substrates by Double-Line-Beam CW Laser Annealing, Conference Proceedings of EUROSOI 2011, VII Workshop of the Thematic Network on Silicon On Insulator Technology, Devices and Circuits, pp. 51-52, 2011
  125. Cu damascene Interconnects with an Organic Low-k Fluorocarbon Dielectric Deposited by Microwave Excited Plasma Enhanced CVD, IEEE International Interconnect Technology Conference 2011, pp. 82-82, 2011
  126. Crystal Growth of Highly Biaxially-Oriented Poly-Si Thin Films by W-Line Beam Continuous-Wave Laser Lateral Crystallization, The proceedings of The Eighteenth International Workshop on Active-Matrix Flatpanel Displays and Devices , AM-FPD11巻, pp. 215-216, 2011
  127. Alignment of In-plane Crystallographic Grain Orientations in Polycrystalline Si Films by Normal and Oblique-Angle Ion-Implantations, Extended Abstracts of 2011 International Conference on Solid State Devices and Materials, pp. 300-301, 2011
  128. Tri-Gate Poly-Si TFTs Fabricated by CW Laser Lateral Crystallization for Improvement of Electron Transport Properties, Extended Abstracts of 2011 International Conference on Solid State Devices and Materials, pp. 1215-1216, 2011
  129. Highly Reliable and Drivability-Enhanced MOS Transistors with Rounded Nanograting Channels, IEICE transactions on electronics, 93巻, 11号, pp. 1638-1644, 20101101
  130. 連続発振レーザー結晶化poly-Si TFTにおける内部歪と電子移動度の評価(プロセス科学と新プロセス技術), 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 110巻, 241号, pp. 41-44, 20101014
  131. 高性能LTPS-TFTのためのDouble-Line-Beam CLCによる高結晶配向Poly-Si薄膜形成(プロセス科学と新プロセス技術), 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 110巻, 241号, pp. 45-48, 20101014
  132. 次世代LSI向け低誘電率絶縁膜/Cuダマシン配線の形成(プロセス科学と新プロセス技術), 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 110巻, 241号, pp. 53-56, 20101014
  133. Ferroelectric Properties of Lead Zirconate Titanate Thin Film on Glass Substrate Crystallized by Continuous-Wave Green Laser Annealing, Jpn J Appl Phys, 49巻, 4号, pp. 04DH14-04DH14-4, 20100425
  134. Enhancement of Current Drivability of Nanograting Polycrystalline Silicon Thin-Film Transistors, Jpn J Appl Phys, 49巻, 4号, pp. 04DJ11-04DJ11-5, 20100425
  135. 次世代LSI向け低誘電率絶縁膜/Cuダマシン配線形成へのダイレクトポリッシング技術の応用, 精密工学会学術講演会講演論文集, 2010巻, 0号, pp. 133-134, 2010
  136. 次世代LSI向け低誘電率絶縁膜/Cuダマシン配線形成へのダイレクトポリッシング技術の応用, 精密工学会学術講演会講演論文集, 2010巻, 0号, pp. 133-134, 2010
  137. Ferroelectric Properties of Lead Zirconate Titanate Thin Film on Glass Substrate Crystallized by Continuous-Wave Green Laser Annealing, Jpn. J. Appl. Phys, 49巻, pp. 04DH14-1-04DH14-4 , 2010
  138. Enhancement of Current Drivability of Nanograting Polycrystalline Silicon Thin-Film Transistors, Jpn. J. Appl. Phys, 49巻, pp. 04DJ11-1-04DJ11-5, 2010
  139. Highly Reliable and Drivability-Enhanced MOS Transistors with Rounded Nanograting Channels, IEICE Transactions on Electronics, E93-C巻, 11号, pp. 1638-1644, 2010
  140. One-dimensionally Long Silicon Grain Formation by Continuous-Wave Green Laser and Its Applications, THE PROCEEDINGS OF THE 6th INTERNATIONAL THIN-FILM TRANSISTOR CONFERENCE, pp. 28-31, 2010
  141. Strain-Induced Back Channel Electron Mobility Enhancement in Poly-Si TFTs Formed by Continuous-Wave Laser Lateral Crystallization, Extended Abstracts of 2010 International Conference on Solid State Devices and Materials, pp. 1307-1308, 2010
  142. Electrical Characteristics of Novel Non-porous Low-k Dielectric Fluorocarbon on Cu Interconnects for 22 nm Generation and Beyond, Advanced Metalization Conference 2010: 20 th Asian Session, pp. 54-55, 2010
  143. Development of Direct-polish Process on Non-porous Ultra Low-k Dielectric/Cu Interconnects for 22nm Generation and Beyond, 2010 International Conference on Planarization/CMP Technology, pp. 51-54, 2010
  144. SOI基板を用いたSi-MESFETの電流電圧特性(プロセス科学と新プロセス技術), 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 109巻, 257号, pp. 27-30, 20091022
  145. ヒートガスアニールによるガラス基板上の非晶質シリコン薄膜の結晶化(プロセス科学と新プロセス技術), 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 109巻, 257号, pp. 47-50, 20091022
  146. Roughness Reduction in Polycrystalline Silicon Thin Films Formed by Continuous-Wave Laser Lateral Crystallization with Cap SiO2 Thin Films, Jpn J Appl Phys, 48巻, 4号, pp. 04C129-04C129-4, 20090425
  147. Low-Temperature Recrystallization of Ferroelectric Lead Zirconate Titanate Thin Films on Glass Substrate Using Continuous-Wave Green Laser, Jpn J Appl Phys, 48巻, 4号, pp. 04C142-04C142-4, 20090425
  148. Roughness Reduction in Polycrystalline Silicon Thin Films Formed by Continuous-Wave Laser Lateral Crystallization with Cap SiO2 Thin Films, Jpn. J. Appl. Phys., 48巻, pp. 04C129-1-04C129-4, 2009
  149. Low-Temperature Recrystallization of Ferroelectric Lead Zirconate Titanate Thin Films on Glass Substrate Using Continuous-Wave Green Laser, Jpn. J. Appl. Phys, 48巻, pp. 04C142-1-04C142-4, 2009
  150. Research on High Performance Poly-Si TFTs with One-dimensionally long grain and reduced surface roughness, Proceedings of SOIM-GCOE08, pp. 207-207, 2009
  151. Ion-Implanted Boron Activation in a Preamorphized Si Layer by Microwave Annealing, Extended Abstracts of the 2009 International Conference on Solid State Devices and Materials, pp. 330-331, 2009
  152. Highly-(001)-Oriented Ferroelectric PZT Thin Films on Glass by CW Green-Laser Crystallization, Extended Abstracts of the 2009 International Conference on Solid State Devices and Materials, pp. 885-886, 2009
  153. Continuous Manipulation of Micro Particles by Use of Asymmetric Electrodes Array, Extended Abstracts of the 2009 International Conference on Solid State Devices and Materials, pp. 673-674, 2009
  154. The Drivability Enhancement of Poly-Si TFTs by use of Nanograting Substrate, Extended Abstracts of the 2009 International Conference on Solid State Devices and Materials, pp. 581-582, 2009
  155. エタノール添加スラリーを用いたCMPによるCWレーザ結晶化Si薄膜の平坦化(プロセス科学と新プロセス技術), 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 108巻, 236号, pp. 13-15, 20081002
  156. C-10-24 微細n型SOI-MESFETの電流電圧特性(C-10.電子デバイス,一般セッション), 電子情報通信学会ソサイエティ大会講演論文集, 2008巻, 2号, 20080902
  157. Analysis of Continuous-Wave Laser Lateral Crystallized Polycrystalline Silicon Thin Films with Large Tensile Strain, Jpn J Appl Phys, 47巻, 4号, pp. 3046-3049, 20080425
  158. Analysis of Drivability Enhancement Factors in Nanograting Metal–Oxide–Semiconductor Field-Effect Transistors, Jpn J Appl Phys, 47巻, 4号, pp. 3081-3085, 20080425
  159. Analysis of Continuous-Wave Laser Lateral Crystallized Polycrystalline Silicon Thin Films with Large Tensile Strain, Jpn J Appl Phys, 47巻, 4号, pp. 3046-3049, 20080425
  160. Analysis of Continuous-Wave Laser Lateral Crystallized Polycrystalline Silicon Thin Films with Large Tensile Strain, Jpn. J. Appl. Phys., 47巻, pp. 3046-3049, 2008
  161. Analysis of Drivability Enhancement Factors in Nanograting Metal-Oxide-Semiconductor Field-Effect Transistors, Jpn. J. Appl. Phys, 47巻, pp. 3081-3085, 2008
  162. Crystallinity and Internal Strain of One-Dimensionally Long Si Grains by CW Laser Lateral Crystallization, ECS Transactions, 16巻, 9号, pp. 145-151, 2008
  163. Morphology Control of Ferroelectric PZT Thin Films Crystallized On Glass with Continuous-Wave Green Laser, Extended Abstracts of the 2008 International Conference on Solid State Devices and Materials, pp. 140-141, 2008
  164. Roughness Reduction Technique for High Performance Poly-Si TFTs by CW Laser Lateral Crystallization with Cap SiO2 Thin Films, Extended Abstracts of the 2008 International Conference on Solid State Devices and Materials, pp. 140-141, 2008
  165. Carrier Transport Mechanism in Poly-Si TFTs with One-Dimensionally Long Grains, Extended Abstracts of the 2008 International Conference on Solid State Devices and Materials, pp. 766-767, 2008
  166. Crystallinity and Internal Strain of One-Dimensionally Long Si Grains by CW Laser Lateral Crystallization, 214th ECS Meeting, E13巻, pp. 2276-2276, 2008
  167. Research on Poly-Si TFTs with One-dimensionally long grains formed by CW laser lateral crystallization, Proceedings of SOIM-GCOE08, pp. 59-60, 2008
  168. Influence of strain effects on characteristics of nanograting channel MOSFET, Proceedings of SOIM-GCOE08, pp. 216-216, 2008
  169. 機械的歪み印加によるSrTiO_3MIMキャパシタ誘電率変調(プロセス科学と新プロセス技術), 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 107巻, 245号, pp. 23-24, 20070927
  170. The Drivability Enhancement Mechanisms in Nano-grating MOSFETs, Extended abstracts of the ... Conference on Solid State Devices and Materials, 2007巻, pp. 204-205, 20070919
  171. Lateral Recrystallized Si Thin Films with Large Tensile Strain for High Performance Thin Film Transistors, Extended abstracts of the ... Conference on Solid State Devices and Materials, 2007巻, pp. 566-567, 20070919
  172. Characteristics of Nano-Grating N-Channel MOSFETs for Improved Current Drivability(Semiconductor Materials and Devices), IEICE transactions on electronics, 90巻, 9号, pp. 1830-1836, 20070901
  173. Enlargement of Crystal Grains in Thin Silicon Films by Continuous-Wave Laser Irradiation, Jpn J Appl Phys, 46巻, 4号, pp. 2501-2504, 20070430
  174. Advantages of Nano-Grating Si Substrates in CMOS-FET Characteristics, ECS Transactions, 11巻, 6号, pp. 467-472, 2007
  175. Self-seeding Crystallization of Silicon Thin Films Using Continuous-Wave Laser, ECS Transactions, 2巻, 10号, pp. 71-75, 2007
  176. Nano-Grating Channel MOSFETs for Improved Current Drivability, ECS Transactions, 2巻, 10号, pp. 83-89, 2007
  177. Enlargement of Crystal Grains in Thin Silicon Films by Continuous-Wave Laser Irradiation, Jpn. J. Appl. Phys., 46巻, 4B号, pp. 2501-2504, 2007
  178. Characteristics of Nano-Grating N-Channel MOSFETs for Improved Current Drivability, IEICE TRANS. ELECTRON, E90-C巻, 9号, pp. 1830-1836, 2007
  179. Permittivity Enhancement of Mechanically Strained SrTiO3 MIM Capacitor, ECS Transactions, 11巻, 3号, pp. 293-299, 2007
  180. The Drivability Enhancement Mechanisms in Nano-grating MOSFETs, Extended Abstracts of the 2007 International Conference on Solid State Devices and Materials, pp. 204-205, 2007
  181. Lateral Recrystallized Si Thin Films with Large Tensile Strain for High Performance Thin Film Transistors, Extended Abstracts of the 2007 International Conference on Solid State Devices and Materials, pp. 566-567, 2007
  182. Permittivity Enhancement of Mechanically Strained SrTiO3 MIM Capacitor, 212th ECS Meeting, E3巻, pp. 1101-1101, 2007
  183. Advantages of Nano-Grating Si Substrates in CMOS-FET Characteristics, 212th ECS Meeting, E9巻, pp. 1322-1322, 2007
  184. Enlargement of Crystal-Grains in Thin Silicon Films Using Continuous-Wave Laser Irradiation, Extended abstracts of the ... Conference on Solid State Devices and Materials, 2006巻, pp. 696-697, 20060913
  185. 連続波グリーンレーザーによるシリコン薄膜のグレイン成長, 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 106巻, 138号, pp. 119-122, 20060626
  186. 連続波グリーンレーザーによるシリコン薄膜のグレイン成長, 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 106巻, 138号, pp. 119-122, 20060626
  187. 連続波レーザーによる強誘電体PZT薄膜の結晶化, 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 106巻, 138号, pp. 277-280, 20060626
  188. 連続波グリーンレーザーによるシリコン薄膜のグレイン成長(AWAD2006), 電子情報通信学会技術研究報告. ED, 電子デバイス, 106巻, 137号, pp. 119-122, 20060626
  189. 連続波レーザーによる強誘電体PZT薄膜の結晶化(AWAD2006), 電子情報通信学会技術研究報告. ED, 電子デバイス, 106巻, 137号, pp. 277-280, 20060626
  190. 連続波グリーンレーザーによるシリコン薄膜のグレイン成長, 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 106巻, 138号, pp. 119-122, 20060626
  191. 連続波グリーンレーザーによるシリコン薄膜のグレイン成長, 電子情報通信学会技術研究報告. SDM, シリコン材料・デバイス, 106巻, 138号, pp. 119-122, 20060626
  192. Measurement and Analysis of Water Adsorption in Porous Silica Films, J. Electrochem. Soc., 153巻, 8号, pp. G759-G764, 2006
  193. Large Grain Growth of Silicon Thin Films by using CW Green Laser, IEICE Technical Report, ED2006-79, SDM2006-87巻, pp. 119-122, 2006
  194. Crystallization Enhancement of Ferroelectric PZT Thin Films, IEICE Technical Report, ED2006-112, SDM2006-120巻, pp. 277-280, 2006
  195. Self-seeding Crystallization of Silicon Thin Films Using Continuous-Wave Laser, 209th Electrochem. Soc. Meeting, pp. 354-354, 2006
  196. Drivability Enhancement of MOS Transistors Fabricated on Nano-grating Silicon Wafers, 209th Electrochem. Soc. Meeting, pp. 360-360, 2006
  197. Large Grain Growth of Silicon Thin Films By using CW Green Laser, 2006 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, pp. 119-122, 2006
  198. Crystallization Enhancement of Ferroelectric PZT Thin Films by using Continuous-Wave Laser, 2006 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, pp. 277-280, 2006
  199. Enlargement of Crystal Grains in Thin Silicon Films by Continuous-Wave Laser Irradiation, Extended Abstracts of the 2006 International Conference on Solid State Devices and Materials, pp. 696-697, 2006
  200. High-Density Microbubble Formation by Utilizing the Specific Additives for Chemical-less degreasing Process, Abstracts of MRS Meeting, A3巻, pp. 65-65, 2006
  201. Characterization of Photosensitive Low-k Films Using Electron-Beam Lithography, J. Electrochem. Soc., 152巻, 4号, pp. G281-G285, 2005
  202. Influence of Humidity on Electrical Characteristics of Self-Assembled Porous Silica Low-k Films, J. Electrochem. Soc., 152巻, 7号, pp. G560-G566, 2005
  203. A Novel Photosensitive Porous Low-$k$ Interlayer Dielectric Film, Japanese journal of applied physics. Pt. 1, Regular papers & short notes, 43巻, 4号, pp. 1820-1824, 20040415
  204. A Novel Photosensitive Porous Low-$k$ Interlayer Dielectric Film, Japanese journal of applied physics. Pt. 1, Regular papers & short notes, 43巻, 4号, pp. 1820-1824, 20040415
  205. A Novel Photosensitive Porous Low-k Interlayer Dielectric Film, Japanese Journal of Applied Physics, 43巻, 4号, pp. 1820-1824, 2004
  206. A Novel Photosensitive Porous Low-k Interlayer Dielectric Film, Jpn. J. Appl. Phys., 43巻, 4B号, pp. 1820-1824, 2004
  207. Photosensitive Porous Low-k Interlayer Dielectric Film, Proceedings of SPIE, Optics East 2004: Sensors, Nanotechnologies and Application, 5592巻, pp. 170-174, 2004
  208. Low-$k$ Dielectric Film Patterning by X-Ray Lithography, Japanese journal of applied physics. Pt. 1, Regular papers & short notes, 42巻, 4号, pp. 1907-1910, 20030415
  209. Low-k Dielectric Film Patterning by X-Ray Lithography, Japanese Journal of Applied Physics, 42巻, 4号, pp. 1907-1910, 2003
  210. Low-k Dielectric Film Patterning by X-Ray Lithography, J. Appl. Phys., 42巻, 4B号, pp. 1907-1910, 2003
  211. Characterization of Photosensitive Low-k Films using Electron-Beam Lithography, Abstract of the 2003 Material Research Society Spring Meeting, pp. 127-127, 2003
  212. A Novel Photosensitive Porous Low-k Interlayer Dielectric Film, Extended Abstracts of the 2003 International Conference on Solid State Devices and Materials, pp. 468-469, 2003
  213. Influence of Humidity on Electrical Characteristics of Porous Silica Films, Extended Abstracts of the 2003 International Conference on Solid State Devices and Materials, pp. 478-479, 2003
  214. Noncommutative Deformation Invariant Quantum Field Theory, 素粒子論研究, 104巻, 5号, 20020220
  215. Calculation of the Pontrjagin class for U(1) instantons on non-commutative R4, J. High Energy Phys., 8巻, pp. 28-28, 2002
  216. Direct Patterning of Low-k Dielectric Films using X-ray Lithography,, Extended Abstracts of the 2002 International Conference on Solid State Devices and Materials, pp. 464-465, 2002
  217. The 't Hooft-Berknoff Equation and The Bilocal Auxiliary Field Method, 素粒子論研究, 103巻, 5号, pp. E99-E100, 20010820
  218. Noncommutative Cohomological Field Theory and GMS Soliton, J. Math. Phys., 43巻, pp. 872-896, 2001
  219. Elongated U(1) Instantons on Noncommutative R4, J. High Energy Phys., 11巻, pp. 68-68, 2001
  220. MAG Topological Field Theory and Quark Confinement : review, 素粒子論研究, 98巻, 6号, pp. F2-F3, 19990320

招待講演、口頭・ポスター発表等

  1. 500°C Operation of 4H-SiC SRAM, Shin-Ichiro Kuroki, T. Kai, K. Kojima, A. Takeyama, T. Ohshima, Y. Tanaka, International Conference on Silicon Carbide and Related Materials (ICSCRM 2023), 2023年09月18日, 通常, 英語, イタリア・ソレント
  2. Extremely Temperature Characterization of Interface and Near-Interface Traps in 4H-SiC MOS Capacitor with Full-Distributed Circuit Model, Vuong Van Cuong, Kaho Koyanagi, Tatusya Meguro, Seiji Ishikawa, Hiroshi Sezaki, Tomonori Maeda, and Shin-Ichiro Kuroki, International Conference on Silicon Carbide and Related Materials (ICSCRM 2023), 2023年09月20日, 通常, 英語, イタリア・ソレント
  3. Radiation Dose Response of 4H-SiC UV Sensor for MGy-Class Radiation Hardened CMOS UV Imager, T. Meguro, M. Tsutsumi, A. Takeyama, T. Ohshima, Y. Tanaka,and S.-I. Kuroki, International Conference on Silicon Carbide and Related Materials (ICSCRM 2023), 2023年09月21日, 通常, 英語, イタリア・ソレント
  4. SiC Sample-and-Hold Circuit for SiC CMOS Image Sensors, T. Okamura, T. Meguro, A. Takeyama, T. Ohshima, Y. Tanaka, and S.-I. Kuroki, International Conference on Silicon Carbide and Related Materials (ICSCRM 2023), 2023年09月18日, 通常, 英語, イタリア・ソレント
  5. Gamma-ray irradiation effects on 4H-SiC n/p MOSFETs with POA treatment, T. Ozaki, V. Van Cuong, A. Takeyama, T. Ohshima, K. Kojima, Y. Tanaka, and S-I. Kuroki, International Conference on Silicon Carbide and Related Materials (ICSCRM 2023), 2023年09月21日, 通常, 英語, イタリア・ソレント
  6. 500°C High-Temperature Characteristics of TiN-gate SiC n/p MOSFETs, Shion Hiramoto, V. Van Cuong, Seiji Ishikawa, Hiroshi Sezaki, Tomonori Maeda, and Shin-Ichiro Kuroki, International Conference on Silicon Carbide and Related Materials (ICSCRM 2023), 2023年09月21日, 通常, 英語, イタリア・ソレント
  7. Real-Time UV Imaging using 4H-SiC 64 Pixels CMOS Image Sensors, S.-I. Kuroki, M. Tsutsumi, T. Meguro, A. Takeyama, T. Ohshima, Y. Tanaka, and S.-I. Kuroki, International Conference on Silicon Carbide and Related Materials (ICSCRM 2023), 2023年09月18日, 通常, 英語, イタリア・ソレント
  8. (招待講演)SiC 耐放射線 CMOS イメージセンサと集積回路の研究開発, 黒木伸一郎, 目黒達也,Vuong Van Cuong,武山昭憲,牧野高紘,大島武,児島一聡,田中保宣, 応用物理学会 先進パワー半導体分科会 第25回研究会, 2023年09月01日, 招待, 日本語, 応用物理学会 先進パワー半導体分科会, 東京
  9. (招待講演)SiC CMOS Integrated Circuits and Image Sensors for Extreme Environment Applications, Shin-Ichiro Kuroki, Tatsuya Meguro, Vuong Van Cuong, Akinori Takeyama, Takahiro Makino, Takeshi Ohshima, Kazutoshi Kojima, and Yasunori Tanaka, The 4th International Workshop on Advanced Materials and Devices 2023 (IWAMD 2023), 2023年08月11日, 招待, 英語, The 4th International Workshop on Advanced Materials and Devices 2023 (IWAMD 2023), Thai Nguyen, Vietnam
  10. (招待講演)SiC CMOS Integrated Circuits and Image Sensors for Extreme Environment Applications, Shin-Ichiro Kuroki, Toya Kai, Masayuki Tsutsumi, Tatsuya Meguro, Vuong Van Cuong,Akinori Takeyama, Takahiro Makino, Takeshi Ohshima, Kazutoshi Kojima, Yasunori Tanaka, IEEE Electron Devices Technology and Manufacturing Conference (IEEE EDTM 2023), 2023年03月10日, 招待, 英語, IEEE米国電気電子学会, 韓国・ソウル
  11. 高線量ガンマ線照射した 4H-SiC JFET のしきい値電圧安定性, 武山 昭憲, 牧野 高紘, 田中 保宣, 黒木 伸一郎, 大島 武, 応用物理学会 先進パワー半導体分科会第 9回講演会, 2022年12月20日, 通常, 日本語
  12. 4H-SiC 画素センサの 2 MGy 放射線効果評価, 堤 将之, 目黒 達也, 武山 昭憲, 大島 武, 田中 保宣, 黒木 伸一郎, 応用物理学会 先進パワー半導体分科会第 9回講演会, 2022年12月20日, 通常, 日本語
  13. 4H-SiC CMOS SRAM のノイズマージン評価, 甲斐 陶弥, 児島 一聡, 大島 武, 田中 保宣, 黒木 伸一郎, 応用物理学会 先進パワー半導体分科会第 9回講演会, 2022年12月20日, 通常, 日本語, 応用物理学会 先進パワー半導体分科会, 福岡国際会議場
  14. 4H-SiC CMOS UVイメージセンサ 画素デバイスの200℃高温動作, 堤 将之、目黒 達也、武山 昭憲、大島 武、田中 保宣、黒木 伸一郎, 2022年第83回応用物理学会 秋季学術講演会, 2022年09月22日, 通常, 日本語, 仙台
  15. 4H-SiC 半絶縁基板を用いた Well-less MOSFET の p/n channel 動作, 甲斐 陶弥、児島 一聡、大島 武、田中 保宣、黒木 伸一郎, 2022年第83回応用物理学会 秋季学術講演会, 2022年09月20日, 通常, 日本語, 仙台
  16. Operating Characteristics of 4H-SiC 3T/4T- Active Pixel Sensors, Masayuki Tsutsumi, Tatsuya Meguro, Akinori Takeyama, Takeshi Ohsima, Yasunori Tanaka, and Shin-Ichiro Kuroki, International Conference on Silicon Carbide and Related Materials 2022 (ICSCRM2022), 2022年09月15日, 通常, 英語, スイス・ダボス
  17. Bias temperature stress instability in 4H-SiC capacitors with different metal gate in extremely high temperature environment, Vuong Van Cuong, Kaho Koyanagi, Tatusya Meguro,Shin-Ichiro Kuroki, International Conference on Silicon Carbide and Related Materials 2022 (ICSCRM2022), 2022年09月15日, 通常, 英語, スイス・ダボス
  18. Bipolar Characteristics of Vanadium-doped 4H-SiC Semi-Insulating Layer for Well-less CMOS Circuits, Toya Kai, Kazutoshi Kojima, Takeshi Ohshima, Yasunori Tanaka, Shin-Ichiro Kuroki, International Conference on Silicon Carbide and Related Materials 2022 (ICSCRM2022), 2022年09月13日, 通常, 英語, スイス・ダボス
  19. Noise Margins and BTI Characteristics of 4H-SiC CMOS Circuits in High-Temperature Environment, Takuma Shima, Toya Kai, Kazutoshi Kojima, Takeshi Ohshima, Yasunori Tanaka, Shin-Ichiro Kuroki, International Conference on Silicon Carbide and Related Materials 2022 (ICSCRM2022), 2022年09月13日, 通常, 英語, スイス・ダボス
  20. Impact of conductivity type of vanadium doped 4H-SiC epilayer on semi-insulating characteristics, Kazutoshi Kojima Shinichiro Sato, Takeshi Ohshima and Shin-Ichiro Kuroki, International Conference on Silicon Carbide and Related Materials 2022 (ICSCRM2022), 2022年09月13日, 通常, 英語, スイス・ダボス
  21. Parameter Extraction from Transfer Characteristics Measurement of 4H-SiC MOSFET in Extremely High Temperature Ambient, Vuong Van Cuong, Tatusya Meguro, Seiji Ishikawa, Hiroshi Sezaki, Tomonori Maeda, Shin-Ichiro Kuroki, International Conference on Silicon Carbide and Related Materials 2022 (ICSCRM2022), 2022年09月13日, 通常, 英語, スイス・ダボス
  22. (招待講演) SiC半導体による極限環境エレクトロニクス構築, 黒木 伸一郎, 志摩拓真, 目黒達也, Vuong Van Cuong, 武山昭憲, 牧野高紘, 大島武, 児島一聡, 田中保宣, 2022年電子情報通信学会総合大会 シンポジウム「極限環境で動作する集積回路」, 2022年03月16日, 招待, 日本語, 電子情報通信学会, オンライン
  23. (招待講演) SiC Extreme-Environment Electronics: From Nuclear Power Station to New Medical Applications, Shin-Ichiro Kuroki, International Workshop on Nanodevice Technologies 2022, in Memory of M. Hirose (IWNT2022), 2022年03月11日, 招待, 英語, RNBS, Hiroshima University, オンライン
  24. (招待講演) SiC MOSFET 集積回路の高温動作とSiC/金属界面信頼性, 黒木伸一郎, Vuong Van Cuong,志摩 拓真,甲斐 陶弥,目黒 達也, 公益社団法人 応用物理学会 先進パワー半導体分科会 第9回 個別討論会「高温動作集積回路開発の現状と課題」, 2022年03月10日, 招待, 日本語, 公益社団法人 応用物理学会 先進パワー半導体分科会, オンライン
  25. (招待講演)シリコンカーバイド極限環境用集積回路および画素デバイスの研究, 黒木伸一郎, 志摩 拓真, 目黒 達也, Vuong Van Cuong, 武山 昭憲, 牧野 高紘, 大島 武, 児島 一聡, 田中 保宣, 電気学会 電子デバイス研究会「高機能化合物半導体エレクトロニクス技術と将来システムへの応用(第2期)」, 2022年03月09日, 招待, 日本語, 電気学会, オンライン
  26. (招待講演)SiC極限環境エレクトロニクスの研究開発:原子炉廃炉対応から宇宙・医療応用まで, 黒木伸一郎, 第二回 電子情報通信学会支部CoEシンポジウム, 2021年12月07日, 招待, 日本語, 電子情報通信学会, オンライン
  27. (招待講演)耐放射線性炭化ケイ素半導体デバイスの開発, 大島 武, 武山 昭憲、牧野 高紘、黒木 伸一郎、田中 保宣, 2021年第82回応用物理学会秋季学術講演会 シンポジウム 「福島第1原発廃炉と福島復興 -応用物理学会の会員として,私たちに何ができるか- 」, 2021年09月10日, 招待, 日本語, 応用物理学会, オンライン
  28. (招待講演)(100)-Surface-Oriented Poly-Si Thin Film Transistors by using Continuous-Wave Laser Lateral Crystallization, Shin-Ichiro Kuroki, Thi Thuy Nguyen, THERMEC2021, INTERNATIONAL CONFERENCE ON PROCESSING & MANUFACTURING OF ADVANCED MATERIALS, 2021年05月10日, 招待, 英語, Wien, Austria /Virtual Conference
  29. (招待講演)SiC 耐放射線イメージセンサの研究開発, 黒木伸一郎, 目黒達也,西垣内健汰,武山昭憲,牧野高紘,大島武,田中保宣, 応用物理学会・先進パワー半導体分科会 第19回研究会, 2021年04月27日, 招待, 日本語, 応用物理学会・先進パワー半導体分科会, オンライン
  30. (招待講演)SiC 半導体による極限環境エレクトロニクス構築, 黒木伸一郎, (公財)科学技術交流財団 第 3 回「厳環境下 IoT 向け 3C-SiC 技術研究会」, 2020年02月18日, 招待, 日本語, (公財)科学技術交流財団, 名古屋
  31. (パネルディスカッション・パネリスト)極限放射線環境下での先端センシングとロボテックス, 黒木伸一郎, JAEA/CLAD福島リサーチコンファレンス「廃炉遠隔技術のための耐放射線化、運用技術及び計測技術の高度化の展望に関するカンファレンス」, 2018年11月27日, 招待, 英語, 国立研究開発法人日本原子力研究開発機構, 福島県双葉郡富岡町
  32. (招待講演)耐放射線デバイスの実用化へのアプローチ, 黒木伸一郎, JAEA/CLAD福島リサーチコンファレンス「廃炉遠隔技術のための耐放射線化、運用技術及び計測技術の高度化の展望に関するカンファレンス」, 2018年11月26日, 招待, 英語, 国立研究開発法人日本原子力研究開発機構, 福島県双葉郡富岡町「学びの森」
  33. (招待講演)SiC極限環境エレクトロニクスと放射光による薄膜・界面状態分析, 黒木伸一郎, 第6回SPring-8次世代先端デバイス研究会/第32回SPring-8先端利用技術ワークショップ, 2018年11月19日, 招待, 日本語, (公財)高輝度光科学研究センター(JASRI) SPring-8利用推進協議会, 東京
  34. (招待講演・チュートリアル) パワーエレクトロニクスとワイドバンドギャップ半導体, 黒木伸一郎, 薄膜材料デバイス研究会, 2011年11月09日, 招待, 日本語, 薄膜材料デバイス研究会組織委員会, 京都
  35. (招待講演) 4H-SiC MOSFETs and Logic Inverters for Harsh Environment Electronics, Shin-Ichiro Kuroki, 19th Takayanagi Kenjiro Memorial Symposium, 2017年11月21日, 招待, 英語, Research Institute of Electronics, Shizuoka University, Hamamatsu, Shizuoka
  36. (招待講演) Peltier Effect of Silicon for cooling 4H-SiC-based power devices, Y. Furubayashi, T. Tanehira, K. Yonemori, S. Miyoshi, and S-I. Kuroki, 232nd Electrochemical Society Meeting, 2017年10月03日, 招待, 英語, The Electrochemical Society, National Harbor, MD, USA
  37. (招待講演) (211) and (100) Surface Oriented Poly-Si Thin Film Transistors with Continuous-Wave Laser Lateral Crystallization, Shin-Ichiro Kuroki, Thi Thuy Nguyen, and Mitsuhisa Hiraiwa, Shin-Ichiro Kuroki, Thi Thuy Nguyen, and Mitsuhisa Hiraiwa, The 17th International Meeting on Information Display (iMID2017), Busan, Korea, 2017年08月30日, 招待, 英語, Busan, Korea
  38. (招待講演) 4H-SiC MOSFETs and Logic Inverters for Harsh Environment Electronics, Shin-Ichiro Kuroki, IEEE Sweden (IEEE米国電気電子学会スウェーデン支部), 2017年01月30日, 招待, 英語, IEEE Sweden, Kista, Sweden
  39. (招待講演) 4H-SiC MOSFETs and Logic Inverters for Radiation-Hardened Electronics, Shin-Ichiro Kuroki, International Workshop on Radiation Resistant Sensors and Related Technologies for Nuclear Power Plant Decommissioning (R2SRT2016)(廃炉に向けた耐放射線性センサー及び関連研究に関する国際ワークショップ), 2016年04月, 招待, 英語, Iwaki, Fukushima
  40. (招待講演)4H-SiC MOSFETs for power and harsh environment electronics, Shin-Ichiro. Kuroki, Annual World Congress of Smart Materials 2016-Develop New Path of Smartness, 2016年03月, 招待, 英語, Singapore
  41. (招待講演)Poly-Si TFTs with One-dimensionally Long Silicon Crystal Grains Using DLB Continuous-wave Laser Lateral Crystallization, Shin-Ichiro Kuroki, iMiD 2015: The 15th International Meeting on Information Display, 2015年08月, 招待, 英語, Daegu, Korea
  42. (招待講演)ダブルラインビーム連続発振レーザラテラル結晶化によるシリコン薄膜の3軸結晶制御とTFT, 黒木 伸一郎, 電子情報通信学会 シリコン材料・デバイス研究会, 2012年04月, 招待, 日本語
  43. (招待講演)One-dimensionally Long Silicon Grain Formation by Continuous-Wave Green Laser and Its Applications., Shin-Ichiro Kuroki, THE 6th INTERNATIONAL THIN-FILM TRANSISTOR CONFERENCE (January 28-29, 2010, Hyogo)., 2010年01月, 招待, 英語
  44. (招待講演)連続発振レーザラテラル結晶化とTFT特性, 黒木 伸一郎, 2011年(平成23年)春季第58回応用物理学関係連合講演会、 シンポジウム「44.1 薄膜トランジスタ、薄膜太陽電池のプロダクション科学 -半導 体薄膜の低温結晶化-」, 2011年03月, 招待, 日本語

受賞

  1. 2013年03月06日, 丸文財団 交流研究助成, 一般財団法人丸文財団, シリコンカーバイド半導体による放射線耐性に優れたCMOS集積回路の研究
  2. 2012年07月04日, AM-FPD '11 Poster Award, AM-FPD Organizing Committee Chair(AM-FPD組織委員会 委員長),AM-FPD Award Committee Chair(AM-FPD Award委員会 委員長)
  3. 2018年10月01日, 第79回応用物理学会秋季学術講演会Poster Award, 公益社団法人応用物理学会会長

取得

  1. 特許権, 2019年08月16日
  2. 特許権, 2020年01月07日

外部資金

競争的資金等の採択状況

  1. 科学研究費助成事業(基盤研究(A)), シリコンカーバイド極限環境エレクトロニクスのIoTプラットフォーム形成, 2020年04月01日, 2023年03月31日
  2. マテリアル先端リサーチインフラ(ARIM), マテリアル先端リサーチインフラ(ARIM), 2022年04月, 2023年03月
  3. マテリアル先端リサーチインフラ(ARIM), マテリアル先端リサーチインフラ(ARIM), 2021年04月, 2022年03月
  4. マテリアル先端リサーチインフラ(ARIM), マテリアル先端リサーチインフラ(ARIM), 2021年02月, 2021年03月
  5. 科学研究費助成事業(基盤研究(B)), シリコンカーバイドによる極限環境エレクトロニクスの研究, 2017年04月, 2020年03月
  6. 英知を結集した原子力科学技術・人材育成推進事業 戦略的原子力共同研究プログラム, 原子力エレクトロニクス技術を活用した耐放射線半導体イメージセンサの開発, 2016年10月, 2019年03月
  7. 科学研究費助成事業(国際共同研究加速基金(国際共同研究強化), ワイドバンドギャップSiC半導体による放射線耐性に優れたCMOS集積回路の研究(国際共同研究強化), 2016年, 2017年
  8. 科学研究費助成事業(基盤研究(C)), ワイドバンドギャップSiC半導体による放射線耐性に優れたCMOS集積回路の研究, 2013年, 2015年
  9. 科学研究費助成事業(基盤研究(B)), 領域選択プロセスによる高性能薄膜トランジスタを用いたグリーンLSI技術, 2011年, 2013年
  10. 科学研究費助成事業(基盤研究(B)), 多重チャネリングイオン注入により配向制御した単結晶Siナノワイヤーの創出, 2009年, 2011年
  11. 科学研究費助成事業(萌芽研究), 半導体デバイスの超微細化に向けた革新的な高濃度極浅接合形成技術の創出, 2007年, 2008年
  12. 科学研究費助成事業(基盤研究(B)), 絶縁基板上Si薄膜の3次元結晶配向制御とTFT応用, 2007年, 2008年
  13. 科学研究費助成事業(若手研究(A)), TFTアクティブマトリクス人工腎臓開発のための基盤研究, 2005年, 2009年

社会活動

委員会等委員歴

  1. 国際固体素子材料コンファレンス(SSDM)論文委員, 2023年01月, 2023年12月, 国際固体素子・材料コンファレンス事務局
  2. 応用物理学会 先進パワー半導体分科会 幹事, 2022年04月, 2023年03月, 公益社団法人応用物理学会
  3. 国際固体素子材料コンファレンス(SSDM)論文委員, 2022年01月, 2022年12月, 国際固体素子・材料コンファレンス事務局
  4. 薄膜材料デバイス研究会 組織・実行委員, 2022年01月, 2022年12月, 薄膜材料デバイス研究会
  5. 応用物理学会 先進パワー半導体分科会 幹事, 2021年04月, 2022年03月, 公益社団法人応用物理学会
  6. 国際固体素子材料コンファレンス(SSDM)論文委員, 2021年01月, 2021年12月, 国際固体素子・材料コンファレンス事務局
  7. 薄膜材料デバイス研究会 組織・実行委員, 2021年01月, 2021年12月, 薄膜材料デバイス研究会
  8. 応用物理学会 先進パワー半導体分科会 幹事, 2020年04月, 2021年03月, 公益社団法人応用物理学会
  9. 応用物理学会 薄膜・表面物理分科会 第49期幹事, 2020年04月, 2021年03月, 公益社団法人応用物理学会
  10. 国際固体素子・材料コンファレンス(SSDM)論文委員, 2020年01月, 2020年12月, SSDM事務局
  11. 電子デバイス界面テクノロジー研究会 実行・プログラム委員, 2020年01月, 2021年01月, 電子デバイス界面テクノロジー研究会
  12. 薄膜材料デバイス研究会 組織・実行委員, 2020年01月, 2020年12月, 薄膜材料デバイス研究会
  13. AM-FPD実行委員会委員, 2019年10月, 2020年09月, (社)機能性薄膜材料デバイス国際会議
  14. 電子デバイス界面テクノロジー研究会実行・プログラム委員, 2019年07月, 2020年03月, 電子デバイス界面テクノロジー研究会
  15. 先進パワー半導体分科会講演会実行委員会 実行委員長, 2019年05月, 2019年12月, 公益社団法人応用物理学会
  16. 非常勤講師, 2019年04月, 2020年03月, 広島工業大学
  17. 国際固体素子・材料コンファレンス(SSDM)論文委員, 2019年04月, 2019年12月, SSDM事務局
  18. 応用物理学会 先進パワー半導体分科会 幹事, 2019年04月, 2020年03月, 公益社団法人応用物理学会
  19. 応用物理学会 薄膜・表面物理分科会 第48期幹事, 2019年04月, 2020年03月, 公益社団法人応用物理学会
  20. 第41回ドライプロセス国際シンポジウム(DPS2019)実行委員, 2019年02月, 2019年12月, 第41回ドライプロセス国際シンポジウム組織委員会
  21. 電子デバイス界面テクノロジー研究会 実行・プログラム委員, 2019年01月, 2020年01月, 電子デバイス界面テクノロジー研究会
  22. 薄膜材料デバイス研究会 組織・実行委員, 2019年01月, 2019年12月, 薄膜材料デバイス研究会
  23. シリコンカーバイド及び関連材料に関する国際会議(ICSCRM2019) 実行委員, 2018年08月, 2019年12月, シリコンカーバイド及び関連材料に関する国際会議(ICSCRM2019)
  24. 応用物理学会 薄膜・表面物理分科会 第47期常任幹事, 2018年04月, 2019年03月, 応用物理学会
  25. 国際固体素子・材料コンファレンス論文委員, 2018年01月, 2018年12月, (社)応用物理学会
  26. 国際学会・実行委員会委員, 2017年10月, 2018年09月, THE 25th INTERNATIONAL WORKSHOP ON ACTIVE-MATRIX FLATPANEL DISPLAYS AND DEVICES (AMFPD2018)
  27. 国際学会プログラム委員・セッションチェア, 2017年07月, 2018年10月, 14th International Conference on Atomically Controlled Suerfaces, Interfaces and Nanostructures (ACSIN-14)
  28. 応用物理学会 薄膜・表面物理分科会 第46期常任幹事, 2017年04月, 2018年03月, 応用物理学会 薄膜・表面物理分科会
  29. 応用物理学会中国・四国支部役員会, 2017年04月, 応用物理学会中国・四国支部
  30. 組織委員, 2017年01月, 2017年12月, 薄膜材料デバイス研究会
  31. 国際学会・実行委員会委員, 2016年10月, 2017年09月, THE 24th INTERNATIONAL WORKSHOP ON ACTIVE-MATRIX FLATPANEL DISPLAYS AND DEVICES (AMFPD2017)
  32. 組織・実行委員, 2016年10月, 2017年03月, 国際ナノデバイステクノロジーワークショップ 2017
  33. Scientific Committee, 2016年10月, 2017年06月, INTERNATIONAL CONFERENCE on ADVANCEMENTS in NUCLEAR INSTRUMENTATION MEASUREMENT METHODS and their APPLICATIONS (ANIMMA2017)
  34. 組織委員, 2016年01月, 2016年12月, 薄膜材料デバイス研究会
  35. 国際学会・実行委員会委員, 2015年10月, 2016年09月, AM-FPD組織委員会
  36. 実行委員, 2015年10月, 2015年10月, 第6回薄膜太陽電池セミナー
  37. 応用物理学会 中国四国支部 庶務幹事, 2015年04月, 2017年03月, 応用物理学会
  38. プログラム委員長, 2015年01月, 2015年12月, 薄膜材料デバイス研究会
  39. 国際学会・プログラム委員長, 2014年10月, 2015年09月, AM-FPD組織委員会
  40. 組織・実行委員, 2014年07月, 2015年03月, 国際ナノデバイステクノロジーワークショップ 2015
  41. 実行委員長, 2014年01月, 2014年12月, 薄膜材料デバイス研究会
  42. 国際学会・プログラム委員長, 2013年10月, 2014年09月, AM-FPD組織委員会
  43. 日本支部幹事, 2013年10月, 米国電気化学会(ECS) 日本支部
  44. 国際学会・プログラム委員, 2012年10月, 2013年09月, AM-FPD組織委員会
  45. 中国地域におけるパワー半導体の現状整理と関連事業の参入可能性調査委員会委員, 2012年05月, 2013年03月, 公益財団法人ちゅうごく産業創造センター
  46. 組織委員, 2012年04月, 薄膜材料デバイス研究会
  47. 国際学会・論文委員, 2011年12月, 2012年11月, 2012 International Conference on Solid State Devices and Materials (SSDM 2012)
  48. 国際学会・プログラム委員, 2011年10月, 2012年09月, AM-FPD組織委員会
  49. 日本支部事務局・会計役, 2011年09月, 2013年08月, 米国電気化学会(ECS) 日本支部
  50. 国際学会・論文委員, 2010年12月, 2011年11月, 2011 International Conference on Solid State Devices and Materials (SSDM 2011)

その他社会貢献活動(広大・部局主催含)

  1. 第3回生体医歯工学共同研究拠点国際シンポジウム, 組織・実行委員, 広島大学ナノデバイス・バイオ融合科学研究所, 広島大学ナノデバイス・バイオ融合科学研究所, 2018年/11月/08日, 2018年/11月/09日, 広島大学サタケメモリアルホール, 企画, 講演会, 研究者
  2. International Workshop on Nanodevices Technology 2018, 組織・実行委員, 広島大学ナノデバイス・バイオ融合科学研究所, International Workshop on Nanodevices Technology 2018, 2018年/03月/02日, 2018年/03月/02日, 広島大学サタケホール, 企画, セミナー・ワークショップ, 研究者
  3. International Workshop on Nanodevices Technology 2017, 組織・実行委員, 広島大学ナノデバイス・バイオ融合科学研究所, 2017年/03月/02日, 2017年/03月/02日, 広島大学サタケホール, 企画, セミナー・ワークショップ, 研究者