寺本 章伸AKINOBU TERAMOTO

Last Updated :2024/05/07

所属・職名
ナノデバイス・バイオ融合科学研究所 教授
ホームページ
メールアドレス
teramo10hiroshima-u.ac.jp
自己紹介
私の研究室では、半導体デバイスの構造設計、製造プロセス、評価技術に関して研究を進めています。現在は、Nomally-Off型GaNHEMTの試作、選択成長技術、新しいメモリデバイスの信頼性評価方法についての研究を行っています。

基本情報

主な職歴

  • 2021年04月01日, 広島大学, ナノデバイス・バイオ融合科学研究所, 所長
  • 2019年06月01日, 広島大学, ナノデバイス・バイオ融合科学研究所, 教授
  • 2019年06月01日, 東北大学, 未来科学技術共同研究センター, 客員教授
  • 2014年07月01日, 2019年05月31日, 東北大学, 未来科学技術共同研究センター, 教授
  • 2007年04月01日, 2014年06月30日, 東北大学, 未来科学技術共同研究センター, 准教授
  • 2002年04月01日, 2010年01月01日, 東北大学, 未来科学技術共同研究センター, 助教授
  • 1992年04月01日, 2002年02月28日, 三菱電機株式会社, LSI研究所, 技術者

学歴

  • 東北大学 大学院, 工学研究科, 電子工学専攻, 日本, 2000年10月01日, 2001年09月12日
  • 東北大学大学院, 工学研究科, 電子工学専攻, 日本, 1990年04月01日, 1992年03月27日
  • 東北大学, 工学部, 電子工学科, 日本, 1986年04月01日, 1990年03月28日

学位

  • 修士(工学) (東北大学)
  • 博士(工学) (東北大学)

教育担当

  • 【学士課程】 工学部 : 第二類(電気電子・システム情報系) : 電子システムプログラム
  • 【博士課程前期】 先進理工系科学研究科 : 先進理工系科学専攻 : 量子物質科学プログラム
  • 【博士課程後期】 先進理工系科学研究科 : 先進理工系科学専攻 : 量子物質科学プログラム

担当主専攻プログラム

  • 電子システムプログラム

研究分野

  • 工学 / 電気電子工学 / 電子デバイス・電子機器
  • 工学 / 電気電子工学 / 電子・電気材料工学

研究キーワード

  • 半導体、信頼性、評価、デバイス構造
  • 半導体
  • プロセス
  • 絶縁膜

所属学会

教育活動

授業担当

  1. 2024年, 学部専門, 通年, 卒業論文
  2. 2024年, 修士課程・博士課程前期, セメスター(前期), 電子工学セミナーA
  3. 2024年, 修士課程・博士課程前期, セメスター(後期), 電子工学セミナーB
  4. 2024年, 修士課程・博士課程前期, 年度, 電子工学プレゼンテーション演習
  5. 2024年, 修士課程・博士課程前期, 1ターム, 電子工学特別演習A
  6. 2024年, 修士課程・博士課程前期, 2ターム, 電子工学特別演習A
  7. 2024年, 修士課程・博士課程前期, 3ターム, 電子工学特別演習B
  8. 2024年, 修士課程・博士課程前期, 4ターム, 電子工学特別演習B
  9. 2024年, 修士課程・博士課程前期, 1ターム, LSI集積化工学
  10. 2024年, 修士課程・博士課程前期, 1ターム, エレクトロニクス概論
  11. 2024年, 修士課程・博士課程前期, 4ターム, 半導体メモリ技術概論
  12. 2024年, 修士課程・博士課程前期, 年度, 量子物質科学特別研究
  13. 2024年, 博士課程・博士課程後期, 年度, 量子物質科学特別研究

研究活動

学術論文(★は代表的な論文)

  1. 半導体とその川上産業、その未来と課題, クリーンテクノロジー, 33巻, 10号, pp. 14-18, 20231010
  2. High-Resolution Defect Detection for Flat Panel Display Using Proximity Capacitance Image Sensor, ITE Transactions on Media Technology and Applications, 11巻, 4号, pp. 158-163, 20231002
  3. Evaluation of MR ratio and reliability of MTJ device having SiN sidewall by modifying reference layer thickness, JAPANESE JOURNAL OF APPLIED PHYSICS, 62巻, SC号, 20230401
  4. Effect of charge-up of surfaces of sintered Y2O3 and yttrium oxyfluoride on their erosion rates due to ion bombardment, Journal of Vacuum Science & Technology B, 40巻, 6号, pp. 062205_1-062205_7, 20221102
  5. Adsorption and surface reaction of isopropyl alcohol on SiO2 surfaces, Journal of Vacuum Science & Technology A, 40巻, 5号, pp. 053201_1-053201_8, 20220711
  6. ★, Evaluation of Low-Frequency Noise in MOSFETs Used as a Key Component in Semiconductor Memory Devices, ELECTRONICS, 10巻, 15号, pp. 1759_1-1759_24, 20210722
  7. A high-precision current measurement platform applied for statistical measurement of discharge current transient spectroscopy of traps in SiN dielectrics, JAPANESE JOURNAL OF APPLIED PHYSICS, 60巻, 8号, pp. 086501_1-086501_10, 20210722
  8. Impact on the Conductance Method of the Asymmetry in the AC Response Induced by Interface Trap Levels, ECS Journal of Solid State Science and Technology, 10巻, 4号, pp. 043004, 20210420
  9. Modification of copper and copper oxide surface states due to isopropyl alcohol treatment toward area-selective processes, Journal of Vacuum Science & Technology A, 39巻, 1号, pp. 013403_1-10, 20201214
  10. Plasma resistance of sintered and ion-plated yttrium oxyfluorides with various Y, O, and F composition ratios for use in plasma process chamber, Journal of Vacuum Science & Technology A, 38巻, 4号, pp. 043003_1-9, 20200611
  11. Influence of silicon wafer surface roughness on semiconductor device characteristics, Japanese Journal of Applied Physics, 59巻, pp. SMMB06_1-6, 20200526
  12. Resistance Measurement Platform for Statistical Analysis of Emerging Memory Materials, IEEE Transactions on Semiconductor Manufacturing, 33巻, 2号, pp. 232-239, 20200505
  13. Study on Influence of O2 Concentration in Wafer Cleaning Ambient for Smoothness of Silicon (110) Surface Appearing at Sidewall of Three-Dimensional Transistors, ECS Transactions, 97巻, 3号, pp. 23-29, 20200501
  14. Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition, Journal of Vacuum Science & Technology A, 38巻, 3号, pp. 032408_1-032408_11, 20200406
  15. Low-Temperature Deposition of Silicon Nitride Films Using Ultraviolet-Irradiated Ammonia, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 8巻, 11号, pp. P715-P718, 20191112
  16. Impact of CoFeB surface roughness on reliability of MgO films in CoFeB/MgO/CoFeB magnetic tunnel junction, Japanese Journal of Applied Physics, 58巻, pp. SIIB29_1-SIIB29_6, 20190717
  17. Statistical Analysis of Threshold Voltage Variation Using MOSFETs With Asymmetric Source and Drain, IEEE Electron Device Letters, 39巻, 12号, pp. 1836-1839, 20181126
  18. 原子オーダーで平坦なシリコン表面とその上に形成されたMOSデバイスの特性, Readout, 51巻, pp. 44-49, 20181015
  19. Impacts of Random Telegraph Noise with Various Time Constants and Number of States in Temporal Noise of CMOS Image Sensors, ITE Transactions on Media Technology and Applications, 6巻, 3号, pp. 171-179, 20180701
  20. Statistical Analyses of Random Telegraph Noise in Pixel Source Follower with Various Gate Shapes in CMOS Image Sensor, ITE Transactions on Media Technology and Applications, 6巻, 3号, pp. 163-170, 20180701
  21. Monte Carlo Simulation of Nanowires Array Biosensor With AC Electroosmosis, IEEE Transactions on Electron Devices, 65巻, 5号, pp. 1932-1938, 20180402
  22. Hole-Trapping Process at Al2O3/GaN Interface Formed by Atomic Layer Deposition, IEEE Electron Device Letters, 38巻, 9号, pp. 1309-1312, 20170823
  23. Stable yttrium oxyfluoride used in plasma process chamber, Journal of Vacuum Science & Technology A, 35巻, 2号, pp. 021405_1-021405_6, 20170131
  24. Evaluating Work-Function and Composition of ErSix on Various Surface Orientation of Silicon, ECS Journal of Solid State Science and Technology,, 5巻, 10号, pp. P608-P613, 20160921
  25. Detection of short range order in SiO2 thin-films by grazing-incidence wide and small-angle X-ray scattering, Journal of Applied Physics, 119巻, pp. 154103_1-154103_5, 20160419
  26. Proposal of tunneling- and diffusion-current hybrid MOSFET: A device simulation study, Japanese Journal of Applied Physics, 55巻, pp. 04ED12-1-04ED12-7, 20160314
  27. Impact of doping concentration on 1/ f noise performances of accumulation-mode Si(100) n-MOSFETs, Japanese Journal of Applied Physics, 55巻, pp. 04ED08_1-04ED08_6, 20160308
  28. Introduction of Atomically Flattening of Si Surface to Large-Scale Integration Process Employing Shallow Trench Isolation, ECS Journal of Solid State Science and Technology, 5巻, 2号, pp. P67-P72, 20151124
  29. Structural Analyses of Thin SiO2 Films Formed by Thermal Oxidation of Atomically Flat Si Surface by Using Synchrotron Radiation X-Ray Characterization, ECS Journal of Solid State Science and Technology, 4巻, 8号, pp. N96-N98, 20150616
  30. Atomically flattening of Si surface of silicon on insulator and isolation-patterned wafers, Japanese Journal of Applied Physics, 54巻, pp. 04DA04_1-04DA04_7, 20150223
  31. Mass densification and defect restoration in chemical vapor deposition silicon dioxide film using Ar plasma excited by microwave, Journal of Vacuum Science & Technology A, 32巻, 5号, pp. 051502-1-051502-9, 20140709
  32. Extraction of time constants ratio over nine orders of magnitude for understanding random telegraph noise in metal–oxide–semiconductor field-effect transistors, Japanese Journal of Applied Physics, 53巻, pp. 04EC19_1-04EC19_7, 20140305
  33. Carrier mobility characteristics of (100), (110), and (551) oriented atomically flattened Si surfaces for fin structure design of multi-gate metal–insulator–silicon field-effect transistors, Japanese Journal of Applied Physics, 53巻, pp. 04EC04_1-04EC04_7, 20140207
  34. A Statistical Evaluation of Random Telegraph Noise of In-Pixel Source Follower Equivalent Surface and Buried Channel Transistors, IEEE Transactions on Electron Devices, 60巻, 10号, pp. 3555-3561, 20130918
  35. A Test Circuit for Extremely Low Gate Leakage Current Measurement of 10 aA for 80 000 MOSFETs in 80 s, IEEE Transactions on Semiconductor Manufacturing, 26巻, 3号, pp. 288-295, 20130731
  36. Low-Interface-Trap-Density and High-Breakdown-Electric-Field SiN Films on GaN Formed by Plasma Pretreatment Using Microwave-Excited Plasma-Enhanced Chemical Vapor Deposition, IEEE Transactions on Electron Devices, 60巻, 6号, pp. 1916-1922, 20130503
  37. Angle-resolved photoelectron spectroscopy study on interfacial transition layer and oxidation-induced residual stress in Si(100) substrate near the interface, Microelectronic Engineering, 109巻, pp. 197-199, 20130402
  38. Stress induced leakage current generated by hot-hole injection, Microelectronic Engineering, 109巻, pp. 298-301, 20130328
  39. ★, High Quality SiO2/Al2O3 Gate Stack for GaN Metal-Oxide-Semiconductor Field-Effect Transistor, Japanese Journal of Applied Physics, 52巻, pp. 04CF09_1-04CF09_6, 20130321
  40. Chemical Structure of Interfacial Transition Layer Formed on Si(100) and Its Dependence on Oxidation Temperature, Annealing in Forming Gas, and Difference in Oxidizing Species, Japanese Journal of Applied Physics, 52巻, pp. 031302_1-031302_14, 20130222
  41. Integration Process Development for Improved Compatibility with Organic Non-Porous Ultralow- k Dielectric Fluorocarbon on Advanced Cu Interconnects, Japanese Journal of Applied Physics, 51巻, pp. 05EC03_1-05EC03_6, 20120512
  42. A Simple Test Structure for Evaluating the Variability in Key Characteristics of a Large Number of MOSFETs, IEEE Transactions on Semiconductor Manufacturing, 25巻, 2号, pp. 145-154, 20120504
  43. Cu Single Damascene Integration of an Organic Nonporous Ultralow- k Fluorocarbon Dielectric Deposited by Microwave-Excited Plasma-Enhanced CVD, IEEE Transactions on Electron Devices, 59巻, 5号, pp. 1445-1453, 20120425
  44. Recovery Characteristics of Anomalous Stress-Induced Leakage Current of 5.6 nm Oxide Films, Japanese Journal of Applied Physics, 51巻, pp. 04DC02_1-04DC02_6, 20120420
  45. Hole Mobility in Accumulation Mode Metal-Oxide-Semiconductor Field-Effect Transistors, Japanese Journal of Applied Physics, 51巻, pp. 04DC07_1-04DC07_6, 20120420
  46. High Integrity SiO2 Gate Insulator Formed by Microwave-Excited Plasma Enhanced Chemical Vapor Deposition for AlGaN/GaN Hybrid Metal-Oxide-Semiconductor Heterojunction Field-Effect Transistor on Si Substrate, Japanese Journal of Applied Physics, 51巻, pp. 04DF03_1-04DF03_4, 20120420
  47. On the Interface Flattening Effect and Gate Insulator Breakdown Characteristic of Radical Reaction Based Insulator Formation Technology, Japanese Journal of Applied Physics, 51巻, pp. 02BA01_1-02BA01_6, 20120220
  48. Densification of chemical vapor deposition silicon dioxide film using oxygen radical oxidation, Journal of Applied Physics, 111巻, 3号, pp. 034101_1-034101_7, 20120201
  49. A Test Circuit for Statistical Evaluation of p-n Junction Leakage Current and its Noise, IEEE Transactions on Semiconductor Manufacturing, 25巻, 3号, pp. 303-309, 20120131
  50. Advanced Direct-Polishing Process Development of Non-Porous Ultralow-k Dielectric Fluorocarbon with Plasma Treatment on Cu Interconnects, Journal of The Electrochemical Society, 159巻, 4号, pp. H407-H411, 20120125
  51. Highly Reliable Radical SiO2 Films on Atomically Flat Silicon Surface Formed by Low Temperature Pure Ar Annealing, Japanese Journal of Applied Physics, 50巻, pp. 10PB05_1-10PB05_7, 20111020
  52. Large-Scale Test Circuits for High-Speed and Highly Accurate Evaluation of Variability and Noise in Metal-Oxide-Semiconductor Field-Effect Transistor Electrical Characteristics, Japanese Journal of Applied Physics, 50巻, pp. 106701_1-106701_11, 20111020
  53. Tribological Study of Brush Scrubbing in Post-Chemical Mechanical Planarization Cleaning in Non-porous Ultralow-k Dielectric/Cu Interconnects, Journal of The Electrochemical Society, 158巻, 11号, pp. H1145-H1151, 20111005
  54. Evaluation for Anomalous Stress-Induced Leakage Current of Gate SiO2 Films Using Array Test Pattern, IEEE Transactions on Electron Devices, 58巻, 10号, pp. 3307-3313, 20110921
  55. Formation speed of atomically flat surface on Si (100) in ultra-pure argon, Microelectronic Engineering, 88巻, 10号, pp. 3133-3139, 20110629
  56. Visualization of Single Atomic Steps on An Ultra-Flat Si(100) Surface by Advanced Differential Interference Contrast Microscopy, Electrochemical and Solid-State Letters, 14巻, 9号, pp. H351-H353, 20110609
  57. Electrical Characteristics of Novel Non-porous Low-k Dielectric Fluorocarbon on Cu Interconnects for 22 nm Generation and Beyond, Japanese Journal of Applied Physics, 50巻, 5号, pp. 05EB02_1-05EB02_5, 20110520
  58. Tribological Effects of Brush Scrubbing in Post Chemical Mechanical Planarization Cleaning on Electrical Characteristics in Novel Non-porous Low-k Dielectric Fluorocarbon on Cu Interconnects, Japanese Journal of Applied Physics, 50巻, 5号, pp. 05EC07_1-05EC07_6, 20110520
  59. Analysis of the Low-Frequency Noise Reduction in Si(100) Metal-Oxide-Semiconductor Field-Effect Transistors, Japanese Journal of Applied Physics, 50巻, 4号, pp. 04DC01_1-04DC01_6, 20110420
  60. Impact of Channel Direction Dependent Low Field Hole Mobility on (100) Orientation Silicon Surface, Japanese Journal of Applied Physics, 50巻, 4号, pp. 04DC03_1-04DC03_6, 20110420
  61. High-Rate Deposition of Amorphous Silicon Films by Microwave-Excited High-Density Plasma, Japanese Journal of Applied Physics, 50巻, 3号, pp. 036502_1-036502_6, 20110322
  62. Mesoscopic-Scale and Small Strain Field beneath SiO2/Si Interface Revealed by a Multiple-Wave X-ray Diffraction Phenomenon-Depth of the Strain Field, e-Journal of Surface Science and Nanotechnology, 9巻, pp. 47-50, 20110219
  63. Electrical Properties of Metal-Oxide-Containing SiO2 Films Formed by Organosiloxane Sol–Gel Precursor, Japanese Journal of Applied Physics, 49巻, 11号, pp. 111503_1-111503_5, 20101122
  64. Depth Profile of Nitrogen Atoms in Silicon Oxynitride Films Formed by Low-Electron-Temperature Microwave Plasma Nitridation, Japanese Journal of Applied Physics, 49巻, 9号, pp. 091301_1-091301_8, 20100921
  65. Relation Between the Mobility,1/f Noise, and Channel Direction in MOSFETs Fabricated on (100) and (110) Silicon-Oriented Wafers, IEEE Transactions on Electron Devices, 57巻, 7号, pp. 1597-1607, 20100623
  66. End-Point Detection of Ta/TaN Chemical Mechanical Planarization via Forces Analysis, Japanese Journal of Applied Physics, 49巻, 5号, pp. 05FC01_1-05FC01_4, 20100520
  67. ★, Statistical Evaluation of Process Damage Using an Arrayed Test Pattern in a Large Number of MOSFETs, IEEE Transactions on Electron Devices, 57巻, 6号, pp. 1310-1318, 20100519
  68. Crystallographic orientation dependence of compositional transition and valence band offset at SiO2/Si interface formed using oxygen radicals, Applied Physics Letters, 96巻, 17号, pp. 173103_1-173103_3, 20100427
  69. Light-Emitting Diode Based on ZnO by Plasma-Enhanced Metal-Organic Chemical Vapor Deposition Employing Microwave Excited Plasma, Japanese Journal of Applied Physics, 49巻, 4号, pp. 04DG14_1-04DG14_4, 20100420
  70. Experimental Investigation of Effect of Channel Doping Concentration on Random Telegraph Signal Noise, Japanese Journal of Applied Physics, 49巻, 4号, pp. 04DC07_1-04DC07_5, 20100420
  71. Analysis of Hundreds of Time Constant Ratios and Amplitudes of Random Telegraph Signal with Very Large Scale Array Test Pattern, Japanese Journal of Applied Physics, 49巻, 4号, pp. 04DC06_1-04DC06_4, 20100420
  72. Low Contact Resistivity with Low Silicide/p+-Silicon Schottky Barrier for High-Performance p-Channel Metal-Oxide-Silicon Field Effect Transistors, Japanese Journal of Applied Physics, 49巻, 4号, pp. 04DA03_1-04DA03_5, 20100420
  73. Very High Performance CMOS on Si(551) Using Radical Oxidation Technology and Accumulation-Mode SOI Device Structure, Journal of The Electrochemical Society, 157巻, 3号, pp. H389-H393, 20100204
  74. Modelling of the hole mobility in p-channel MOS transistors fabricated on (110) oriented silicon wafers, Solid-State Electronics, 54巻, 4号, pp. 420-426, 20091209
  75. Characterization of MgZnO films grown by plasma enhanced metal-organic chemical vapor deposition, Thin Solid Films, 518巻, 11号, pp. 2953-2956, 20091028
  76. The electric properties of low-magnetic-loss magnetic composites containing Zn-Ni-Fe particles, Journal of Physics: Condensed Matter, 21巻, 43号, pp. 436009_1-436009_5, 20091008
  77. Hole Mobility in Si(110) p-MOS Transistors, ECS Transactions, 16巻, 40号, pp. 7-12, 20091000
  78. Low-Loss Composite Material Containing Fine Zn-Ni-Fe Flakes for High-Frequency Applications, IEEE Transactions on Magnetics, 45巻, 10号, pp. 4337-4340, 20090918
  79. High-Frequency Propagation on Printed Circuit Board Using a Material With a Low Dielectric Constant, a Low Dielectric Loss, and a Flat Surface, EEE Transactions on Components and Packaging Technologies, 32巻, 2号, pp. 415-423, 20090722
  80. In situ Observation of Grain Growth on Electroplated Cu Film by Electron Backscatter Diffraction, Japanese Journal of Applied Physics, 48巻, 6号, pp. 066507_1-066507_8, 20090622
  81. Three-step Room Temperature Wet Cleaning Process for Silicon Substrate, Solid State Phenomena, 145-146巻, pp. 381-384, 20090606
  82. Data Analysis Technique of Atomic Force Microscopy for Atomically Flat Silicon Surfaces, IEICE TRANSACTIONS on Electronics, E92-C巻, 5号, pp. 664-670, 20090501
  83. Reduction of Scratch on Brush Scrubbing in Post CMP Cleaning by Analyzing Contact Kinetics on Ultra Low-k Dielectric, ECS Transactions, 19巻, 7号, pp. 103-109, 20090500
  84. Impact of New Approach to Improve MOSFETs Performance with Ultrathin Gate Insulator, ECS Transactions, 19巻, 4号, pp. 65-70, 20090500
  85. UV-Raman Spectroscopy Study on SiO2/Si Interface, ECS Transactions, 19巻, 2号, pp. 55-66, 20090500
  86. Effects of Ion-Bombardment-Assist and High Temperature on Growth of Zinc Oxide Films by Microwave Excited High Density Plasma Enhanced Metal Organic Chemical Vapor Deposition, Japanese Journal of Applied Physics, 48巻, 4号, pp. 04C135_1-04C135_6, 20090420
  87. Deposition of Microcrystalline Si1-xGex by RF Magnetron Sputtering on SiO2 Substrates, Japanese Journal of Applied Physics, 48巻, 4号, pp. 04C124_1-04C124_6, 20090420
  88. Complementary Metal-Oxide-Silicon Field-Effect-Transistors Featuring Atomically Flat Gate Insulator Film/Silicon Interface, Japanese Journal of Applied Physics, 48巻, 4号, pp. 04C048_1-04C048_6, 20090420
  89. A Study on Very High Performance Novel Balanced Fully Depleted Silicon-on-Insulator Complementary Metal-Oxide-Semiconductor Field-Effect Transistors on Si(110) Using Accumulation-Mode Device Structure for Radio-Frequency Analog Circuits, Japanese Journal of Applied Physics, 48巻, 4号, pp. 04C047_1- 04C047_4, 20090420
  90. Impact of Tungsten Capping Layer on Yttrium Silicide for Low-Resistance n+-Source/Drain Contacts Japanese Journal of, Japanese Journal of Applied Physics, 48巻, 4号, pp. 04C046_1-04C046_5, 20090420
  91. Anomalous Random Telegraph Signal Extractions from a Very Large Number of n-Metal Oxide Semiconductor Field-Effect Transistors Using Test Element Groups with 0.47 Hz-3.0 MHz Sampling Frequency, Japanese Journal of Applied Physics, 48巻, 4号, pp. 04C044_1-04C044_5, 20090420
  92. Effect of Additives in Organic Acid Solutions for Post-CMP Cleaning on Polymer Low-k Fluorocarbon, Journal of The Electrochemical Society, 156巻, 6号, pp. H409-H415, 20090401
  93. Inductively coupled plasma generator for an environmentally benign perfluorocarbon abatement system, Journal of Vacuum Science & Technology A, 27巻, 3号, pp. 465-470, 20090330
  94. Experimental demonstration and analysis of high performance and low 1/f noise Tri-gate MOSFETs by optimizing device structure, Microelectronic Engineering, 86巻, 7-9号, pp. 1786-1788, 20090316
  95. Stress-induced leakage current and random telegraph signal, Journal of Vacuum Science & Technology B, 27巻, 1号, pp. 394-401, 20090209
  96. Different mechanism to explain the 1/ f noise in n- and p-SOI-MOS transistors fabricated on (110) and (100) silicon-oriented wafers, Journal of Vacuum Science & Technology B, 27巻, 1号, pp. 394-401, 20090209
  97. Characterization for High-Performance CMOS Using In-Wafer Advanced Kelvin-Contact Device Structure, IEEE Semiconductor Manufacturing, 22巻, 1号, pp. 291-298, 20090204
  98. ★, Atomically Flat Silicon Surface and Silicon/Insulator Interface Formation Technologies for (100) Surface Orientation Large-Diameter Wafers Introducing High Performance and Low-Noise Metal-Insulator-Silicon FETs, IEEE Transactions on Electron Devices, 56巻, 2号, pp. 291-298, 20090128
  99. Effect of Various Cleaning Solutions and Brush Scrubber Kinematics on the Frictional Attributes of Post Copper CMP Cleaning Process, Solid State Phenomena, 145-146巻, pp. 363-366, 20090106
  100. Damage-Free Post-CMP Cleaning Solution for Low-k Fluorocarbon on Advanced Interconnects, Solid State Phenomena, 145-146巻, pp. 189-192, 20090106
  101. Angle-resolved photoelectron study on the structures of silicon nitride films and Si3N4 /Si interfaces formed using nitrogen-hydrogen radicals, Journal of Applied Physics, 104巻, pp. 114112-1-114112-8, 20081211
  102. High-Efficiency PFC Abatement System Utilizing Plasma Decomposition and Ca(OH)2/Cao Immobilization, IEEE Transactions on Semiconductor Manufacturing, 21巻, pp. 668-675, 20081105
  103. Three-Step Room-Temperature Cleaning of Bare Silicon Surface for Radical-Reaction-Based Semiconductor Manufacturing, Journal of The Electrochemical Society, 156巻, pp. H10-H17, 20081030
  104. Accurate negative bias temperature instability lifetime prediction based on hole injection, Microelectronics Reliability, 48巻, pp. 1649-1654, 20080822
  105. High Permeability and Low Loss Ni–Fe Composite Material for High-Frequency Applications, IEEE Transactions on Magnetics, 44巻, pp. 2100-2106, 20080822
  106. Nitrogen Profile Study for SiON Gate Dielectrics of Advanced Dynamic Random Access Memory, Japanese Journal of Applied Physics, 47巻, 7号, pp. 5380-5834, 20080711
  107. Formation and Property of Yttrium and Yttrium Silicide Films as Low Schottcky Barrier material for n-Type Silicon, Japanese Journal of Applied Physics, 47巻, 4号, pp. 3138-3141, 20080425
  108. Characterization of Zinc Oxide Films Grown by a Newly Developed Plasma Enhanced Metal Organic Chemical Vapor Deposition Employing Microwave Excited High Density Plasma, Japanese Journal of Applied Physics, 47巻, 4号, pp. 2994-2998, 20080425
  109. Performance Comparison of Ultrathin Fully Depleted Silicon-on-Insulator Inversion-, Intrinsic-, and Accumulation-Mode Metal-Oxide-Semiconductor Field-Effect Transistors, Japanese Journal of Applied Physics, 47巻, 4号, pp. 2668-2671, 20080425
  110. Evaluation of New Amorphous Hydrocarbon Film for Copper Barrier Dielectric Film in Low-k Copper Metallization, Japanese Journal of Applied Physics, 47巻, 4号, pp. 2531-2534, 20080425
  111. Low-Dielectric-Constant Nonporous Fluorocarbon Films for Interlayer Dielectric, Japanese Journal of Applied Physics, 47巻, 4号, pp. 2531-2534, 20080425
  112. Tantalum Nitride Sputtering Deposition with Xe on Fluorocarbon for Cu Interconnects, Journal of The Electrochemical Society, 155巻, 5号, pp. H323-H328, 20080319
  113. Evaluation of Si3N4/Si interface by UV Raman spectroscopy, Applied Surface Science, 254巻, pp. 6229-6231, 20080318
  114. ★, Atomically Flat Silicon Surface and Silicon/Insulator Interface Formation Technologies for (100) Surface Orientation Large-Diameter Wafers Introducing High Performance and Low-Noise Metal–Insulator–Silicon FETs, IEEE Transactions on Electron Devices, 56巻, 2号, pp. 291-298, 20080128
  115. Damage-free microwave-excited plasma etching without carrier deactivation of heavily doped Si under thin silicide layer, Journal of Vacuum Science & Technology A, 26巻, 1号, pp. 8-16, 20071214
  116. High performance and highly reliable novel CMOS devices using accumulation mode multi-gate and fully depleted SOI MOSFETs, Microelectronic Engineering, 84巻, pp. 2105-2108, 20070600
  117. ★, Very High Carrier Mobility for High Performance CMOS on Si(110) surface, IEEE Transactions on Electron Devices, 54巻, 6号, pp. 1438-1445, 20070529
  118. Revolutional Process of Silicon Technologies Exhibiting Very High Speed Performance Over 50 GHz Clock Rate, IEEE Transactions on Electron Devices, 54巻, 6号, pp. 1471-1477, 20070529
  119. NBTI Mechanism Based on Hole-Injection for Accurate Lifetime Prediction, ECS Transactions, 6巻, 3号, pp. 229-243, 20070500
  120. Impact of Improved Mobilities and Suppressed 1/f Noise in Fully Depleted SOI MOSFETs Fabricated on Si(110) Surface, ECS Transactions, 6巻, 4号, pp. 101-106, 20070500
  121. Hot Carrier Instability Mechanism in Accumulation-Mode Normally-off SOI nMOSFETs and Their Reliability Advantage, ECS Transactions, 6巻, 4号, pp. 113-118, 20070500
  122. Development of Microwave-Excited Plasma-Enhanced Metal-rganic Chemical Vapor Deposition System for Forming Ferroelectric Sr2(Ta1-x,Nbx)2O7 Thin Film on Amorphous SiO2, Japanese Journal of Applied Physics, 46巻, 4B号, pp. 2200-2204, 20070424
  123. Very Low Bit Error Rate in Flash Memory Using Tunnel Dielectrics Formed by Kr/O2/NO Plasma Oxynitridation, Japanese Journal of Applied Physics, 46巻, 4B号, pp. 2148-2152, 20070424
  124. New Statistical Evaluation Method for the Variation of Metal-Oxide-Semiconductor Field-Effect Transistors, Japanese Journal of Applied Physics, 46巻, 4B号, pp. 2054-2057, 20070424
  125. Electric Characteristics of Si3N4 Films Formed by Directly Radical Nitridation on Si(110) and Si(100) Surfaces, Japanese Journal of Applied Physics, 46巻, 4B号, pp. 1895-1898, 20070424
  126. Low Leakage Current and Low Resistivity p+n Diode on Si(110) Fabricated by Ga+ and B+ Dual Ion Implantation for Low Temperature Source-Drain Activation, Japanese Journal of Applied Physics, 46巻, 4B号, pp. 1848-1852, 20070424
  127. Accuracy and Applicability of Low Frequency C-V Measurement Methods for Characterization of Ultra-thin Gate Dielectrics with Large Current, IEEE Transactions on Electron Devices, 54巻, 5号, 20070423
  128. Subnitride and valence band offset at Si3N4/Si interface formed using nitrogen-hydrogen radicals, Applied Physics Letters, 90巻, 12号, pp. 123114-1-123114-3, 20070319
  129. High quality gate insulator film formation on SiC using by microwave-excited high-density plasma, Microelectronics Reliability, 47巻, 4-5号, pp. 786-789, 20070227
  130. X-ray photoelectron spectroscopy study of dielectric constant for Si compounds, Applied Physics Letters, 89巻, 154103号, pp. 1-3, 20061011
  131. Fablication of Pt/Sr2(Ta1-x,Nbx)2O7/IrO2/SiO2/Si Device Window and Metal-Ferroelectric-Metal-Insulator-Si Field-Effect Transistor, Japanese Journal of Applied Physics, 45巻, 9B号, pp. 7336-7340, 20060922
  132. Fabrication of Pt/Sr2(Ta1-x,Nbx)2O7/IrO2/SiO2/Si device with large memory window and metal-ferroelectric-metal-insulator-Si field-effect transistor, Japanese Journal of Applied Physics, 45巻, 9B号, pp. 7336-7340, 20060922
  133. Circuit level prediction of device performance degradation due to negative bias temperature stress, Microelectronics Reliability, 47巻, 6号, pp. 930-936, 20060912
  134. Low voltage 3 V operation of ferroelectric multi-layer stack MFIS structure device formed by plasma physical vapor deposition and oxygen radical treatment, Integrated Ferroelectrics, 81巻, 1号, pp. 47-55, 20060817
  135. Examination of degradation mechanism due to negative bias temperature stress a perspective of hole energy for accurate lifetime prediction, Microelectronics Reliability, 47巻, 4号, pp. 409-418, 20060804
  136. Control of Nitrogen Depth Profile near Silicon Oxynitride/ Si(100) Interface Formed by Radical Nitridation, Japanese Journal of Applied Physics, 45巻, 8A号, 20060804
  137. Relationship between Sr2(Ta1-x,Nbx)2O7 Crystal Phase and RF-Sputtering Plasma Condition for Metal-Ferroelectric-Insulator-Si Structure Device Formation, Japanese Journal of Applied Physics, 45巻, 4B号, pp. 3207-3212, 20060425
  138. Impact of Improved High-Performance Si(110)-Oriented Metal-Oxide-Semiconductor Field-Effect Transistors Using Accumulation-Mode Fully Depleted Silicon-on-Insulator Devices, Japanese Journal of Applied Physics, 45巻, 4B号, pp. 3110-3116, 20060425
  139. 1/f noise suppression of pMOSFETs fabricated on Si(100) and Si(110) using an alkali-free cleaning process, IEEE Transactions on Electron Devices, 53巻, 4号, pp. 851-856, 20060410
  140. Lattice Distortion at SiO2/Si(001) Interface Studied with High-Resolution Rutherford Backscattering Spectroscopy/Channeling, Japanese Journal of Applied Physics, 45巻, 4A号, pp. 2467-2469, 20060407
  141. Statistical evaluation of very low gate leakage current for bit error evaluation in Flash Memory, Transactions of the Materials Research Society of Japan, 31巻, 1号, pp. 141-144, 20060300
  142. Capacitance-voltage measurement method for ultrathin gate dielectrics using LC resonance circuit, IEEE Transactions on Semiconductor Manufacturing, 19巻, 1号, pp. 43-49, 20060206
  143. サブ100nm半導体技術の課題と展望, 電子情報通信学会誌, 89巻, 2号, pp. 109-116, 20060201
  144. New era of silicon technologies due to radical reaction based semiconductor manufacturing, Journal of Physics D: Applied Physics, 39巻, 1号, pp. R1-R17, 20051215
  145. Hydrogen termination of Si(110) surfaces upon wet cleaning revealed by highly resolved scanning tunneling microscopy, Journal of Applied Physics, 98巻, 10号, pp. 103525 1-8, 20051129
  146. Geometry and bias dependence of low-frequency random telegraph signal and 1/f noise levels in mosfets, Fluctuation and Noise Letters, 5巻, 4号, pp. L539-L548, 20051024
  147. Control of nitrogen depth profile and chemical bonding state in silicon oxynitride films formed by radical nitridation, Japanese Journal of Applied Physics, 44巻, 10号, pp. 7395-7399, 20051011
  148. High resolution X-ray photoelectron spectroscopy study on Si3N4/Si interface structures and its correlation with hysteresis in C-V curves, ECS Transactions, 1巻, 1号, pp. 267-276, 20051000
  149. New NBTI Lifetime Prediction Method for Ultra Thin SiO2 Films, ECS Transactions, 1巻, 1号, pp. 147-160, 20051000
  150. Adsorption behavior of various fluorocarbon gases on silicon wafer surface, Japanese Journal of Applied Physics, 44巻, 4B号, pp. 2245-2251, 20050421
  151. XPS Study of H-Terminated Silicon Surface under Inert Gas and UHV Annealing, Journal of the Electrochemical Society, 152巻, 2号, pp. G163-G167, 20050114
  152. A low-dielectric-constant Sr2(Ta1-x,Nbx)(2)O7 thin film controlling the crystal orientation on an IrO2 substrate for one-transistor-type ferroelectric memory device, Japanese Journal of Applied Physics, 43巻, 4B号, pp. 2194-2198, 20040427
  153. High-speed damage-free contact hole etching using dual shower head microwave-excited high-density-plasma equipment, Japanese Journal of Applied Physics, 43巻, 4B号, pp. 1784-1787, 20040427
  154. MFIS structure device with a low dielectric constant ferroelectric Sr2(Ta1-x,Nbx)2O7 formed by plasma physical vapor deposition and oxygen radical treatment, Integrated Ferroelectrics, 65巻, 1号, pp. 29-38, 20040101
  155. Reliability of silicon nitride gate dielectrics grown at 400 degrees C formed by microwave-excited high-density plasma, Applied Surface Science, 216巻, 1-4号, pp. 246-251, 20030630
  156. Oxygen radical treatment applied to ferroelectric thin films, Applied Surface Science, 216巻, 1-4号, pp. 239-245, 20030630
  157. Ferroelectric Sr2(Ta1-x, Nbx)(2)O7 with a low dielectric constant by plasma physical vapor deposition and oxygen radical treatment, Japanese Journal of Applied Physics, 42巻, 4B号, pp. 2050-2054, 20030401
  158. High-quality silicon oxide film formed by diffusion region plasma enhanced chemical vapor deposition and oxygen radical treatment using microwave-excited high-density plasma, Japanese Journal of Applied Physics, 42巻, 4B号, pp. 1911-1915, 20030401
  159. A technology for reducing flicker noise for ULSI applications, Japanese Journal of Applied Physics, 42巻, 4B号, pp. 2106-2109, 20030401
  160. 半導体の技術戦略 , オートメーション, 47巻, 12号, pp. 18-21, 20021200
  161. 次世代半導体プロセスにおけるウルトラクリーンテクノロジー : 全くゆらぎのない半導体製造プロセス, 精密工学会誌, 大見忠弘 and 寺本章伸68巻, 9号, pp. 1144-1149, 20020905
  162. Saturation phenomenon of stress-induced gate leakage current, Japanese Journal of Applied Physics, 41巻, 4B号, pp. 2335-2338, 20020400
  163. Excess Currents Induced by Hot Hole Injection and FN Stress in Thin SiO2 Films, IEEE Transactions on Electron Devices, 48巻, 5号, pp. 868-873, 20010500
  164. ★, Time-dependent dielectric breakdown of SiO2 films in a wide electric field range, Microelectronics Reliability, 41巻, pp. 47-52, 20001222
  165. Simulation of dopant redistribution during gate oxidation including transient-enhanced diffusion caused by implantation damage, Japanese Journal of Applied Physics, 39巻, 5A号, pp. 2565-2576, 20000500
  166. Precise Control of Nitrogen Profiles and Nitrogen Bond States for Highly Reliable N2O-Grown Oxynitride, Journal of the Electrochemical Society, 147巻, 5号, pp. 1888-1892, 20000500
  167. Angle resolved X-ray photoelectron spectroscopic study of ultrathin oxynitrides, Materials Science in Semiconductor Processing, 2巻, 3号, pp. 225-231, 19991000
  168. Effects of N distribution on charge trapping and TDDB characteristics of N2O annealed wet oxide, IEEE Transactions on Electron Devices, 46巻, 6号, pp. 1121-1126, 19990600
  169. Origin of positive charge generated in thin SiO2 films during high-field electrical stress, IEEE Transactions on Electron Devices, 46巻, 5号, pp. 947-953, 19990500
  170. Characterization of extrinsic oxide breakdown on thin dielectric oxide, Ieice Transactions on Electronics, E82C巻, 4号, pp. 589-592, 19990400
  171. Improved reliability of NO treated NH3-nitrided oxide with regard to O2 annealing, SOLID-STATE ELECTRONICS, 42巻, 6号, pp. 921-924, 19980600
  172. High performance 0.2 um dual gate complementary MOS technologies by suppression of transient-enhanced-diffusion using rapid thermal annealing, Japanese Journal of Applied Physics, 37巻, 3B号, pp. 1054-1058, 19980300
  173. Highly Reliable SiO2 Films Formed by UV-O2 Oxidation, JJAP, 37巻, 3B号, pp. 1122-1124, 19980300
  174. Oxide thickness dependence of nitridation effects on TDDB characteristics, Microelectronics Reliability, 37巻, 10-11号, pp. 1521-1524, 19971100
  175. Dielectric Brekdown caused by hole-induced-defect in thin SiO2 films, Applied Surface Science, 117/118巻, pp. 245-248, 19970602
  176. Electron traps and excess current induced by hot-hole injection into thin SiO2 films, Journal of the Electrochemical Society, 143巻, 10号, pp. 3377-3383, 19961000
  177. 高信頼トンネル酸化膜形成技術, 三菱電機技報, 70巻, 3号, pp. 317-321, 19960300
  178. Clarification of nitridation effect on oxide formation methods, Japanese Journal of Applied Physics, 35巻, 2B号, pp. 1454-1459, 19960200
  179. Model for the Substrate Hole Current Based on Thermionic Hole Emission from the Anode during Fowlar-Nordheim Electron-Tunneling in N-Channel Metal-Oxide-Semiconductor Field-Effect Transistors, Journal of Applied Physics, 77巻, 7号, pp. 3277-3282, 19950401
  180. Charge-Transport in Ultrathin Silicon Nitrides, Journal of the Electrochemical Society, 142巻, 3号, pp. 990-996, 19950300
  181. Preoxide-Controlled Oxidation for Very Thin Oxide-Films, The Japan Society of Applied Physics, 32巻, 1B号, pp. 294-297, 19930100
  182. Very Thin Oxide Film on a Silicon Surface by Ultraclean Oxidation, Applied Physics Letters, 60巻, 17号, pp. 2126-2128, 19920220
  183. Effect of Silicon Wafer In Situ Cleaning on the Chemical Structure of Ultrathin Silicon Oxide Film, Japanese Journal of Applied Physics, 30巻, 12B号, pp. 3584-3586, 19911116
  184. Effects of Si Wafer Surface Micro Roughness on Electrical Properties of Very Thin Gate Oxide Films, ULSI Science and Technology, PV91巻, 11号, pp. 400-408, 199111
  185. Native Oxide-Growth on Silicon Surface in Ultrapure Water and Hydrogen Peroxide, Japanese Journal of Applied Physics, 29巻, 12号, pp. L2392-L2394, 19901020
  186. SiNx Deposition at Low Temperature Using UV-Irradiated NH3, ECS Transactions, 89巻, 4号, pp. 31-36, 201904
  187. Effect of drain current on appearance probability and amplitude of random telegraph noise in low-noise CMOS image sensors, Japanese Journal of Applied Physics, 57巻, pp. 04FF08_1-04FF08_6, 201804
  188. Experimental investigation of localized stress-induced leakage current distribution in gate dielectrics using array test circuit, Japanese Journal of Applied Physics, 57巻, pp. 04FE11_1-04FE11_5, 201804
  189. Formation technology of flat surface with epitaxial growth on ion-implanted (100)-oriented Si surface of thin silicon-on-insulator, Japanese Journal of Applied Physics, 56巻, pp. 105503_1 -105503_8, 201710
  190. Performances of accumulation-mode n- and p-MOSFETs on Si(110) wafers, Japanese Journal of Applied Physics, 56巻, pp. 04CD15_1-04CD15_7, 201704
  191. Oxidizing Species Dependence of the Interface Reaction during Atomic-Layer-Deposition Process and Post-Deposition-Anneal, ECS Transactions, 75巻, 5号, pp. 207-214, 201608
  192. Effects of Oxygen Microbubbles on Photoresist Layers under Hot Water Conditions, Journal of Photopolymer Science and Technology, 29巻, 4号, pp. 643-646, 201606
  193. Low Leakage Current Al2O3 Metal-Insulator-Metal Capacitors Formed By Atomic Layer Deposition at Optimized Process Temperature and O2 Post Deposition Annealing, ECS Transactions, 72巻, 4号, pp. 91-100, 201605
  194. Introduction of a High Selectivity Etching Process with Advanced SiNx Etch Gas in the Fabrication of FinFET Structures, ECS Transactions, 72巻, 4号, pp. 23-30, 201605
  195. Effect of Oxygen Impurity on Nitrogen Radicals in Post-Discharge Flows, ECS Transactions, 69巻, 39号, pp. 1-9, 201512
  196. Effect of Process Temperature of Al2O3 Atomic Layer Deposition Using Accurate Process Gasses Supply System, ECS Transactions, 66巻, 4号, pp. 305-314, 201503
  197. Low Temperature Atomically Flattening of Si Surface of Shallow Trench Isolation Pattern, ECS Transactions, 66巻, 7号, pp. 11-21, 201503
  198. Ultra-Low Temperature Flattening Technique of Silicon Surface Using Xe/H2 Plasma, ECS Transactions, 66巻, 5号, pp. 277-283, 201503
  199. Effect of Hydrogen on Silicon Nitrides Formation by Microwave Excited Plasma Enhanced Chemical Vapor Deposition, ECS Transactions, 66巻, 4号, pp. 151-159, 201503
  200. Crystallinity Improvement of Ferroelectric BiFeO3 Thin Film by Oxygen Radical Treatment, ECS Transactions, 66巻, 5号, pp. 261-267, 201503
  201. Surface Metal Cleaning of GaN Surface Based on Redox Potential of Cleaning Solution, ECS Transactions, 66巻, 7号, pp. 11-21, 201503
  202. Flattening Technique of (551) Silicon Surface Using Xe/H2 Plasma, ECS Transactions, 61巻, 2号, pp. 401-407, 201403
  203. Effect of Composition Ratio on Erbium Silicide Work Function on Different Morphology of Si(100) Surface Changed by Alkaline Etching, ECS Transactions, 61巻, 3号, pp. 47-53, 201403
  204. High Selectivity in Dry Etching of Silicon Nitride over Si Using a Novel Hydrofluorocarbon Etch Gas in a Microwave Excited Plasma for FinFET, ECS Transactions, 61巻, 3号, pp. 29-37, 201403
  205. High Performance Normally-off GaN MOSFETs on Si Substrates, ECS Transactions, 58巻, 4号, pp. 155-166, 201308
  206. Schottky Barrier Height between Erbium Silicide and Various Morphology of Si(100) Surface Changed by Alkaline Etching, ECS Transactions, 58巻, 7号, pp. 349-354, 201308
  207. Effect of Composition Rate on Erbium Silicide Work Function on Different Silicon Surface Orientation, ECS Transactions, 53巻, 1号, pp. 343-350, 201305
  208. Low Work Function between Erbium Silicide and n-type Silicon Controlled by Cap Film Stress, ECS Transactions, 45巻, 3号, pp. 371-378, 201204
  209. Electrical Properties of Silicon Nitride Using High Density and Low Plasma Damage PECVD Formed at 400 oC, ECS Transactions, 45巻, 3号, pp. 421-428, 201204
  210. Influence of Forming Gas Annealing on SiO2/Si(100) Interface Structures Formed Utilizing Oxygen Molecules Different from that Utilizing Oxygen Radicals, ECS Transactions, 45巻, 3号, pp. 453-460, 201204
  211. 1/f CHANNEL NOISE AT HIGH DRAIN CURRENT IN MOS TRANSISTORS, Fluctuation and Noise Letters, 10巻, 4号, pp. 431-445, 201112
  212. High Power Normally-Off GaN MOSFET, ECS Transactions, 41巻, 8号, pp. 87-100, 201110
  213. Gate SiO2 Film Integrity on Ultra-Pure Argon Anneal (100) Silicon Surface, ECS Transactions, 41巻, 7号, pp. 147-156, 201110
  214. Different Properties of Erbium Silicides on Si(100) and Si(551) Orientation Surfaces, ECS Transactions, 41巻, 7号, pp. 365-373, 201110
  215. Clear Difference between the Chemical Structure of SiO2/Si Interfaces Formed Using Oxygen Radicals versus Oxygen Molecules, ECS Transactions, 35巻, 4号, pp. 115-122, 201105
  216. Pr3Si6N11/Si3N4 Stacked High-k Gate Dielectrics with High Quality Ultrathin Si3N4 Interfacial Layers, ECS Transactions, 35巻, 2号, pp. 275-284, 201105
  217. Advanced Direct-Polish Process on Organic Non-Porous Ultra Low-k Fluorocarbon Dielectric on Cu Interconnects, ECS Transactions, 34巻, 1号, pp. 653-658, 201103
  218. Evaluation of Narrow Gap Filling Ability in Shallow Trench Isolation by Organosiloxane Sol-Gel Precursor, ECS Transactions, 33巻, 3号, pp. 135-143, 201010
  219. Impact of Work Function Optimized S/D Silicide Contact for High Current Drivability CMOS, ECS Transactions, 28巻, 1号, pp. 315-324, 201004
  220. Atomically Flattening Technology at 850˚C for Si(100) Surface, ECS Transactions, 28巻, 1号, pp. 299-309, 201004
  221. Quantitative Analysis of the Strain Field beneath the Si3N4/Si(001) Interface Formed by the Xe/NH3 Plasma Nitridation using a Multiple-Wave X-ray Diffraction Phenomenon, Transactions of the Materials Research Society of Japan, 34巻, 4号, pp. 597-600, 200912
  222. Very High Performance CMOS on Si(551) Surface using Radical Oxidation Silicon Flattening Technology and Accumulation-mode SOI Device Structure, ECS Transactions, 25巻, 7号, pp. 115-129, 200910
  223. Different Types of Degradation and Recovery Mechanisms on NBT Stress for Thin SiO2 Films by On-the-Fly Measurement, ECS Transactions, 19巻, 2号, pp. 339-350, 200905
  224. Effect of Polisher Kinematics in Reducing Average and Variance of Shear Force and Increasing Removal Rate in Copper CMP, ECS Transactions, 18巻, 1号, pp. 465-471, 200903
  225. Microcrystalline Si1-xGex Deposited by Magnetron Sputtering, ECS Transactions, 16巻, 9号, pp. 183-192, 200810
  226. ラジカル窒化シリコン酸窒化膜における窒素プロファイルのX 線光電子分光分析による評価, 真空, 50巻, 11号, pp. 672-677, 200711
  227. マイクロ波励起プラズマを用いた高品質シリコン窒化膜の形成, 真空, 50巻, 11号, pp. 672-677, 200711
  228. Performance Boost Using a New Device Structure Design for SOI MOSFETs Beyond 25nm Node, ECS Transactions, 11巻, 6号, pp. 349-354, 200710
  229. Dependence of Electron Channel Mobility on Si-SiO2 Interface Microroughness, IEEE Electron Device Letters, 12巻, 12号, pp. 652-654, 199112

著書等出版物

  1. 2017年07月07日, Different Types of Field-Effect Transistors - Theory and Applications, Carrier Mobility in Field-Effect Transistors, InTech, 2017年, July, 単行本(学術書), 共著, en, P. Gaubert and A. Teramoto, Chapter 1
  2. 2016年10月05日, Advances in Noise Analysis, Mitigation and Control, New Processes and Technologies to Reduce the Low‐Frequency Noise of Digital and Analog Circuits, InTech, 2016年, October, 単行本(学術書), en, P. Gaubert and A. Teramoto, Chapter 1
  3. 2007年07月, Advanced Gate Stacks for High-Mobility Semiconductors, New Processes and Technologies to Reduce the Low‐Frequency Noise of Digital and Analog Circuits, Springer, 2007年, July, 単行本(学術書), 共著, en, A. Teramoto and T. Ohmi, 10-3-540-71490-1, 21-24頁
  4. 2006年, Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing, Taylor & Francis, 2006年, 単行本(学術書), 共著, en, H. Morita, A. Teramoto, H. Morinaga, S. Ojima, K. Mitsumori, T. Yabune, M. Miyashita, H. Kikuyama, J. takano, 61-250
  5. 2005年03月19日, 表面・界面工学大系基礎編 上巻, 半導体, フジ・テクノシステム, 2005年, March, 事典・辞書, 共著, ja, 寺本章伸、大見忠弘, 4-938555-95-6, 489-497

招待講演、口頭・ポスター発表等

  1. Sulfurization-free synthesis of MoS2 films using sol-gel chemical conversion technique towards biosensor applications, Md Iftekharul Alam, Rikiya Sumichika, Akinobu Teramoto, The 8th International Symposium on Biomedical Engineering, 2023年11月21日, 招待, 英語, Research Center for Biomedical Engineering, Higashi-Hiroshima
  2. Organic Molecule-Induced Photosensor Enhancement in MoS2 Field Effect Transitor, Alam Md Iftekharul, Tsuyoshi Takaoka, Atsushi Ando, Tadahiro Komeda, Akinobu Teramoto, 2023 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES, 2023年10月23日, 通常, 英語, The Japan Society of Applied Physics Thin Film and Surface Physics Division, Kanazawa
  3. Floating Gate enable to control the threshold voltage in GaN HEMT, Hayato Kosaka, Kuniharu Kobashi, Riichiro Shirota, Shinichiro Takatani, Tomoyuki Suwa, Yoshiteru Amemiya, Akinobu Teramoto, The 8th International Symposium on Biomedical Engineering, 2023年11月21日, 通常, 英語, Research Center for Biomedical Engineering, Higashi-Hiroshima
  4. Switching Characteristics of Magnetic Tunnel Junction with Intermediate State, Yuya Miyazaki, Chihiro Watanabe, Junichi Tsuchimoto, Hiroyuki Hosoya, Yoshiteru Amemiya, Akinobu Teramoto, The 8th International Symposium on Biomedical Engineering, 2023年11月21日, 通常, 英語, Research Center for Biomedical Engineering, Higashi-Hiroshima
  5. Thermal Assisted Atomic Layer Deposition Ruthenium by Ru Precursor and O2 as a reactant, Gagi Tauhidur Rahman, Kazuma Uesugi, Yoshiteru Amemiya, Akinobu Teramoto, The 8th International Symposium on Biomedical Engineering, 2023年11月21日, 通常, 英語, Research Center for Biomedical Engineering, Higashi-Hiroshima
  6. Study of MoS2 thein film synthesized by Sol-gel chemical conversion method, Rikiya Sumichika, Md Iftekharul Alam, Akinobu Teramoto, The 8th International Symposium on Biomedical Engineering, 2023年11月21日, 通常, 英語, Research Center for Biomedical Engineering, Higashi-Hiroshima
  7. Isolation Method of Magnetic Tunnel Junction Using SiN-Sidewall and Characteristics, Chihiro Watanabe, Junichi Tsuchimoto, Hiroyuki Hosoya, Kazuo Yamanaka, Yuya Miyazaki, Yoshiteru Amemiya, Akinobu Teramoto, The 8th International Symposium on Biomedical Engineering, 2023年11月21日, 通常, 英語, Research Center for Biomedical Engineering, Higashi-Hiroshima
  8. Applications of Proximity Capacitance Image Sensor, Toshiro Yasuda and Akinobu Teramoto, The 8th International Symposium on Biomedical Engineering, 2023年11月21日, 通常, 英語, Research Center for Biomedical Engineering, Higashi-Hiroshima
  9. Switching Characteristics of MgO Based MTJ with Intermediate State, Y. Miyazaki, C. Watanabe, J. Tsuchimoto, H. Hosoya, Y. Amemiya, A. Teramoto, International Conference on Solid State Devices and Materials, 2023年09月05日, 通常, 英語, The Japan Society of Applied Physics, Nagoya
  10. インピーダンス計測プラットフォーム技術を用いた機能性薄膜に関する電気的特性の統計的計測, 齊藤 宏河、鈴木 達彦、光田 薫未、間脇 武蔵、諏訪 智之、寺本 章伸、須川 成利、黒田 理人, 第84回応用物理学会秋季学術講演会, 2023年09月23日, 通常, 日本語, 応用物理学会, 熊本市
  11. Thermal Assisted Atomic Layer Deposition of Ruthenium by Ru Precursor and O2 as a reactant, Gagi Tauhidur Rahman, Kazuma Uesugi, Yoshiteru Amemiya, and Akinobu Teramoto, AVS 23rd International Conference on Atomic Layer Deposition, 2023年07月23日, 通常, 英語, AVS, Bellevue, Washington
  12. Development of Piezo Controlled Vapor Delivery System for Ru ALD Application, Hiroshi Nishizato, Krunal Girase, Takumi Moriyama, Kazuma Uesugi, Gagi Tauhidur Rahman, Patrick Lowery P.E., Paul Totten, Troy Freeman, Yoshiteru Amemiya, Akinobu Teramoto, AVS 23rd International Conference on Atomic Layer Deposition, 2023年07月23日, 通常, 英語, AVS, Bellevue, Washington
  13. Statistical chracteristics of RTN and 1/f noise in MOSFETs, AKINOBU TERAMOTO, 2022 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD), 2022年07月08日, 招待, 英語, The Institute of Electronics and Information Engineers (IEIE), Korea BK21 Four Education and Research Program for Future ICT Pioneers, Seoul National University, Korea The Institute of Electronics, Information and Communication Engineers (IEICE-ES), Japan, Online
  14. GaN High Electron Mobility Transistor with Floating Gate for Accurate Threshold Voltage Control, H. Kosaka, H. Iwata, Y. Watariguchi, R. Shirota, Y. Amemiya, S. Takatani, T. Suwa , and A. Teramoto, 243rd ECS Meeting, 2023年05月31日, 通常, 英語, The Electrochemical Society, Boston
  15. Endurance characteristics of MgO based 120 nm size MTJ for various write/erase switching pulse width, C. Watanabe, J. Tsuchimoto, H. Hosoya, Y. Amemiya, Y. Miyazaki, A. Teramoto, IEDM SpecialMRAMpostersession, 2022年12月06日, 通常, 英語, IEEE, San Francisco
  16. Effect of SiN Sidewall and Reference-Layer-Thickness Dependence of MR Ratio for High Performance STT-MRAM, Y. Amemiya, J. Tsuchimoto H. Hosoya, H. Nakanishi, C. Watanabe, and A. Teramoto, International Conference on Solid State Devices and Materials, 2022年10月26日, 通常, 英語, The Japan Society of Applied Physics, Makuhari
  17. 単分子誘電体を実装した横型トランジスタの作製, 藤林将、中野祐紀、加藤都佐都、雨宮嘉照、寺本章伸、西原禎文, 応用物理学会 中国四国支部合同学術講演会, 2022年07月27日, 通常, 日本語, 応用物理学会, 高松
  18. Reduction of Contact Resistance in GaN/Metal, Yudai Watariguchi Yoshiteru Amemiya, Hiroki Iwata, Tomoyuki Suwa, and Akinobu Teramoto, International Workshop on Nanodevice Technologies, 2022年03月11日, 通常, 英語, RNBS, Hiroshima University, Higashi-Hiroshima
  19. Research on Self-Aligned Lithography for Miniaturization of Integrated Circuits, Kyosuke Yoshioka, Yoshiteru Amemiya, Kazuma Uesugi, and Akinobu Teramoto, International Workshop on Nanodevice Technologies, 2022年03月11日, 通常, 英語, RNBS, Hiroshima University, Higashi-Hiroshima
  20. Statistical Evaluation of 1/f Noise in MOSFETs, Tatsuki Ueta and Akinobu Teramoto, International Workshop on Nanodevice Technologies, 2022年03月18日, 通常, 英語, Research Institute for Nanodevice and Bio Systems (RNBS), Hiroshima University, Higashi-Hiroshima
  21. ALD Research to Realize Area Selective Proces, Kazuma Uesugi, Yoshiteru Amemiya, and Akinobu Teramoto, International Workshop on Nanodevice Technologies, 2022年03月11日, 通常, 英語, Research Institute for Nanodevice and Bio Systems (RNBS), Hiroshima University, Higashi-Hiroshima
  22. New Isolation Method for MTJ Fabrication Using SiN-Sidewall, Hiroki Nakanishi, Junichi Tsuchimoto, Hiroyuki Hosoya, Yoshiteru Amemiya, Chihiro Watanabe, and Akinobu Teramoto, International Workshop on Nanodevice Technologies, 2022年03月11日, 通常, 英語, RNBS, Hiroshima University, Higashi-Hiroshima
  23. Floating Gate GaN HEMT for Normally-Off Operation, Hiroki Iwata, Yudai Watariguchi, Hayato Kosaka, Tomoyuki Suwa, Yoshiteru Amemiya and Akinobu Teramoto, International Workshop on Nanodevice Technologies, 2022年03月11日, 通常, 英語, RNBS, Hiroshima University, Higashi-Hiroshima
  24. New Isolation Method for MTJ Fabrication Using SiN-Sidewall, H. Nakanishi, J. Tsuchimoto, H. Hosoya, Y. Amemiya, C. Watanabe, and A. Teramoto, Special MRAM poster session IEDM, 2021年12月15日, 通常, 英語, IEEE Magnetics Society, San Francisco
  25. High Resolution Array Tester for Flat Panel Display Using Proximity Capacitance Image Sensor, T. Yasuda, K. Kobayashi, Y. Yamamoto, H. Hamori, A. Teramoto, R. Kuroda, and S. Sugawa, The 28th International Display Workshops, 2021年12月03日, 通常, 英語, The Institute of Image Information and Television Engineers The Society for Information Display, Virtual Conference
  26. IPAを用いた銅・酸化銅上の表面改質, 間脇武蔵, 寺本章伸, 石井勝利, 志波良信, 東雲秀司, 梅澤好太, 黒田理人, 白井泰雪, 須川成利, 電子情報通信学会 シリコン材料デバイス研究会, 2020年10月22日, 通常, 日本語, 電子情報通信学会, 仙台
  27. 統計的計測によるドレイン-ソース間電圧がランダムテレグラフノイズに与える影響の解析, 秋元 瞭,黒田理人,寺本章伸,間脇武蔵,市野真也,諏訪智之,須川成利, 電子通信情報学会 シリコン材料・デバイス研究会, 2020年10月22日, 通常, 日本語, 電子情報通信学会, 仙台
  28. Study on Influence of O2 Concentration in Wafer Cleaning Ambient for Smoothness of Silicon (110) Surface Appearing at Sidewall of Three-Dimensional Transistors, T. Suwa, A. Teramoto, Y. Shirai, T. Matsuo, N. Mizutani, and S. Sugawa, ECS Meeting, 2020年05月01日, 通常, 英語, The Electrochemical Society
  29. Effect of Drain-to-Source Voltage on Random Telegraph Noise Based on Statistical Analysis of MOSFETs with Various Gate Shapes, R. Akimoto, R. Kuroda, A. Teramoto, T. Mawaki, S. Ichino, T. Suwa, and S. Sugawa, 2020 IEEE International Reliability Physics Symposium, 2020年04月28日, 通常, 英語, IEEE, Virtual
  30. High Reliability CoFeB/MgO/CoFeB Magnetic Tunnel Junction Fabrication Using Low-damage Ion Beam Etching, H. Park, A. Teramoto, J.-i. Tsuchimoto, K. Hashimoto, T. Suwa, M. Hayashi, R. Kuroda, and S. Sugawa, International Conference on Solid State Devices and Materials, 2019年09月06日, 通常, 英語, 応用物理学会, 名古屋
  31. An Accuracy Improved Resistance Measurement Platform For Evaluation of Emerging Memory Materials, T. Maeda, Y. Omura, R. Kuroda, A. Teramoto, T. Suwa, and S. Sugawa, International Conference on Solid State Devices and Materials, 2019年09月04日, 通常, 英語, 応用物理学会, 名古屋
  32. SiNx Deposition at Low Temperature Using UV-Irradiated NH3, Y. Shiba, A. Teramoto, T. Suwa, K. Ishii, A. Shimizu, K. Umezawa, R. Kuroda, and S. Sugawa, 235th ECS Meeting, 2019年05月26日, 通常, 英語, The Electrochemical Society, Dallas
  33. Resistance Measurement Platform for Statistical Analysis of Next Generation Memory Materials, T. Maeda, Y. Omura, A. Teramoto, R. Kuroda, T. Suwa, and S. Sugawa, IEEE International Conference on Microelectronic Test Structures, 2019年03月18日, 通常, 英語, IEEE, Kitakyushu
  34. Impact of Y-O-F Composition in Yttrium Oxyfluoride on Corrosion Resistance to Plasma Irradiation, A. Teramoto, Y. Shiba, T. Goto, Y. Kishi, and S. Sugawa, 5th Annual World Congress of Smart Materials, 2019年03月06日, 招待, 英語, BIT, Rome
  35. An Electrical Impedance Biosensor Array for Tracking Moving Cells, N. Ogata, A. Shina, T. Komiya, Y. Iizuka, K. Matsuse, F. Imaizumi, T. Suwa, and A. Teramoto, IEEE SENSORS, 2018年10月28日, 通常, 英語, IEEE, New Delhi
  36. Corrosion Resistance to F and Cl plasma of Yttrium Oxyfluoride (YOF) formed by Sintering, A. Teramoto, Y. Shiba, T. Goto, Y. Kishi, and S. Sugawa, AVS 65th International Symposium, 2018年10月21日, 通常, 英語, American Vacuum Society, Long Beach
  37. Effects of Process Gases and Gate TiN Electrode during the Post Deposition Anneal to ALD-Al2O3 Dielectric Film, M. Saito, A. Teramoto, T. Suwa, K. Nagumo, Y. Shiba, R. Kuroda, and S. Sugawa, VS 65th International Symposium, 2018年10月21日, 通常, 英語, AVS, Long Beach
  38. Impact of CoFeB Surface Roughness on Reliability of MgO Films in CoFeB/MgO/CoFeB Magnetic Tunnel Junction, H. W. Park, A. Teramoto, J. Tsuchimoto, M. Hayashi, K. Hashimoto, and S. Sugawa, 4th International Conference on Atomically Controlled Surfaces, Interfaces and Nanostructures & 26th International Colloquium on Scanning Probe Microscopy, 2018年10月21日, 通常, 英語, 応用物理学会, 仙台
  39. ソースとドレインが非対称のMOSFETを用いた電気的特性のばらつきの統計的解析, 市野 真也 寺本 章伸 黒田 理人 間脇 武蔵 諏訪 智之 須川 成利, 電子通信情報学会 シリコン材料・デバイス研究会, 2018年10月17日, 通常, 日本語, 仙台
  40. Impacts of Boron Concentration and Annealing Temperature on Electrical Characteristics of CoFeB/MgO/CoFeB Magnetic Tunnel Junction, H. Park, A. Teramoto, J.-i. Tsuchimoto, M. Hayashi, K. Hashimoto, R. Kuroda, and S. Sugawa, International Conference on Solid State Devices and Materials, 2018年09月09日, 通常, 英語, The Japan Society of Applied Physics, Tokyo
  41. Improved Conductance Method for Interface Trap Density of ZrO2-Si interface, H. J. Lin, A. Teramoto, H. Watanabe, R. Kurota, K. Umezawa, K. Furukawa, and S. Sugawa, International Conference on Solid State Devices and Materials, 2018年09月09日, 通常, 英語, The Japan Society of Applied Physics, Tokyo
  42. Impact of atomically flat SiO2/Si interface on improvement of MOS device performance, A. Teramoto, T. Suwa, R. Kuroda, and S. Sugawa, European Advanced Materials Congress, 2018年08月21日, 招待, 英語, European Advanced Materials Congress, Stockholm
  43. Reliability of MgO in Magnetic Tunnel Junctions Formed by MgO Sputtering and Mg Oxidation, A. Teramoto, K. Hashimoto, T. Suwa, J.-i. Tsuchimoto, M. Hayashi, H. Park, and S. Sugawa, IEEE International Reliability Physics Symposium, 2018年03月11日, 通常, 英語, IEEE, Burlingame
  44. Corrosion Resistance of Yttrium Trifluoride (YF3) and Yttrium Oxyfluoride (YOF) used in Plasma Process Chamber, Y. Shiba, A. Teramoto, T. Goto, and Y. Kishi, AVS 64th International Symposium, 2017年10月29日, 通常, 英語, AVS, Tampa
  45. Difference of the Hysteresis in Capacitance-voltage Characteristics of ALD-Al2O3 MIS Capacitors on Si and GaN Substrate, M. Saito, T. Suwa, A. Teramoto, T. Narita, and T. Kachi, AVS 64th International Symposium, 2017年10月29日, 通常, 英語, AVS, Tampa
  46. 高精度アレイテスト回路計測技術を用いたソースフォロアトランジスタの動作条件変化によるランダムテレグラフノイズの挙動解析, 市野 真也 間脇 武蔵 寺本 章伸 黒田 理人 若嶋 駿一 須川 成利, 電子通信情報学会 シリコン材料・デバイス研究会, 2017年10月26日, 通常, 日本語, 電子通信情報学会, 仙台
  47. Experimental Investigation of Localized Stress Induced Leakage Current Distribution and its Decrease by Atomically Flattening Process, 朴, 賢雨 黒田, 理人 後藤, 哲也 諏訪, 智之 寺本, 章伸 木本, 大幾 須川, 成利, 電子通信情報学会 シリコン材料・デバイス研究会, 2017年10月25日, 通常, 日本語, 電子通信情報学会, 仙台
  48. 画素SFで発生するランダムテレグラフノイズの統計的解析 : トランジスタ形状・時定数・遷移数の影響, 黒田理人・寺本章伸・市野真也・間脇武蔵・若嶋駿一・須川成利, 映像情報メディア学会, 2017年09月25日, 通常, 日本語, 映像情報メディア学会, 東京
  49. Monte-Carlo Simulation of Biomolecules' Fluid-Dynamics in Electrolyte Facing Nanowires Biosensor, C.-A. Lee, A. Teramoto, and H. Watanabe, 17th IEEE International Conference on Nanotechnology, 2017年07月25日, 通常, 英語, IEEE, Pittsburgh
  50. Atomically flat interface for noise reduction in SOIMOSFETs, P. Gaubert, A. Kircher, H. Park, R. Kuroda, S. Sugawa, T. Goto, T. Suwa, and A. Teramoto, 24th International Conference on Noise and Fluctuations, 2017年06月20日, 通常, 英語, IEEE, Vilnius
  51. Improvement in Electrical Characteristics of ALD Al2O3 Film by Microwave Excited Ar/O2 Plasma Treatment, M. Saito, T. Suwa, A. Teramoto, Y. Koda, R. Kuroda, Y. Shiba, S. Sugawa, J. Tsuchimoto, and M. Hayashi., 231st ECS Meeting, 2017年04月15日, 通常, 英語, The Electrochemical Society, New Orleans
  52. Impact of SiO2/Si interface micro-roughness on SILC distribution and dielectric breakdown: A comparative study with atomically flattened devices, H. Park, T. Goto, R. Kuroda, A. Teramoto, T. Suwa, D. Kimoto, and S. Sugawa, IEEE International Reliability Physics Symposium, 2017年04月02日, 通常, 英語, IEEE, Monterey
  53. High Quality Film and Interface Formation using Appropriate Reaction Species, Akinobu Teramoto, AVS 63rd International Symposium, 2016年11月09日, 招待, 日本語, AVS, Nashville
  54. 動作電圧変化時の過渡状態におけるランダムテレグラフノイズの挙動に関する研究, 間脇武蔵、寺本章伸、黒田理人、市野真也、後藤哲也、諏訪智之、須川成利, 電子通信情報学会 シリコン材料・デバイス研究会, 2016年10月27日, 通常, 日本語, 電子通信情報学会, 仙台
  55. 高濃度ドーピングされた(100)方位SOIウェーハに対するSi選択エピタキシャル成長後の平坦な表面形成技術, 古川貴一、寺本章伸、黒田理人、諏訪智之、橋本圭市、須川成利、鈴木大介、千葉洋一郎、石井勝利、清水 亮、長谷部一秀, 電子通信情報学会 シリコン材料・デバイス研究会, 2016年10月26日, 通常, 日本語, 電子通信情報学会, 仙台
  56. 原子層堆積法で成膜したAl₂O₃膜界面に及ぼす酸化種の影響 , 齋藤 雅也 諏訪 智之 寺本 章伸 黒田 理人 幸田 安真 杉田 久哉 林 真里恵 土本 淳一 石井 秀和 志波 良信 白井 泰雪 須川 成利, 電子通信情報学会 シリコン材料・デバイス研究会, 2016年10月26日, 通常, 日本語, 電子通信情報学会, 仙台
  57. Low Frequency Noise of Accumulation-Mode n- and p-MOSFETs fabricated on (110) Crystallographic Silicon-Oriented Wafers, P. Gaubert, A. Teramoto, and S. Sugawa, International Conference on Solid State Devices and Materials, 2016年09月26日, 通常, 英語, 応用物理学会, Tsukuba
  58. Formation Technology of Flat Surface after Selective-Epitaxial-Growth on Ion-implanted (100) Oriented Thin SOI Wafers, K. Furukawa, A. Teramoto, R. Kuroda, T. Suwa, K. Hashimoto, S. Sugawa, D. Suzuki, Y. Chiba, K. Ishii, A. Shimizu, and K. Hasebe, International Conference on Solid State Devices and Materials, 2016年09月26日, 通常, 英語, 応用物理学会, 筑波
  59. Oxidizing Species Dependence of the Interface Reaction during Atomic-Layer-Deposition Process and Post-Deposition-Anneal, T. Suwa, A. Teramoto, Y. Koda, M. Saito, H. Sugita, M. Hayashi, J. Tsuchimoto, H. Ishii, Y. Shiba, Y. Shirai, and S. Sugawa, PRiME Meeting, 2016年09月01日, 通常, 英語, The Electrochemical Society The Electrochemical Society of Japan The Korean Electrochemical Society, Honolulu
  60. Introduction of a High Selectivity Etching Process with Advanced SiNx Etch Gas in the Fabrication of FinFET Structures, T. Kojiri, T. Suwa, K. Hashimoto, A. Teramoto, R. Kuroda, and S. Sugawa, 229th ECS Meeting, 2016年05月31日, 通常, 英語, The Electrochemical Society, San Diego
  61. Low Leakage Current Al2O3 Metal-Insulator-Metal Capacitors Formed By Atomic Layer Deposition at Optimized Process Temperature and O2 Post Deposition Annealing, Y. Koda, H. Sugita, T. Suwa, R. Kuroda, T. Goto, A. Teramoto, and S. Sugawa, 229th ECS Meeting, 2016年05月31日, 通常, 英語, The Electrochemical Society, San Diego
  62. Random Telegraph Noise Measurement and Analysis based on Arrayed Test Circuit toward High S/N CMOS Image Sensors, R. Kuroda, A. Teramoto, and S. Sugawa, IEEE International Conference on Microelectronic Test Structures, 2016年05月28日, 招待, 英語, IEEE, Yokohama
  63. マイクロ・ナノバブルの半導体洗浄への応用-イオン注入フォトレジストの除去-, 寺本章伸 酒井健 田寺克己 高橋常二郎 高橋正好 今泉文伸 白井泰雪, MNBSCJ第4回学術総会, 2015年12月13日, 通常, 日本語, 日本マイクロ・ナノバブル学会, 東京
  64. 高精度ガス制御器を用いたAl2O3のALD成膜におけるプロセス温度の検討, 杉田久哉、幸田安真、諏訪智之、黒田理人、後藤哲也、石井秀和、山下 哲、寺本章伸、須川成利、大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2015年10月30日, 通常, 日本語, 電子通信情報学会, 仙台
  65. トンネル電流・拡散電流併用MOSFETのデバイスシミュレーション検討, 古川貴一、寺本章伸、黒田理人、諏訪智之、橋本圭市、小尻尚志、須川成利, 電子通信情報学会 シリコン材料・デバイス研究会, 2015年10月30日, 通常, 日本語, 電子通信情報学会, 仙台
  66. 原子オーダー平坦なゲート絶縁膜/シリコン界面を導入したMOSFETの電気的特性, 後藤哲也、黒田理人、諏訪智之、寺本章伸、小原俊樹、木本大幾、須川成利、鎌田 浩、熊谷勇喜、渋沢勝彦, 電子通信情報学会 シリコン材料・デバイス研究会, 2015年10月29日, 通常, 日本語, 電子通信情報学会, 仙台
  67. ラジカル窒化法により形成したSi3N4/Si界面に形成される組成遷移層に関する研究, 諏訪智之 寺本章伸 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2015年10月29日, 通常, 日本語, 電子通信情報学会, 仙台
  68. 酸素ラジカル処理を用いた強誘電体BiFeO₃薄膜の形成技術, 今泉文伸、後藤哲也、寺本章伸、須川成利, 電子通信情報学会 シリコン材料・デバイス研究会, 2015年10月29日, 通常, 日本語, 電子通信情報学会, 仙台
  69. Effect of Oxygen Impurity on Nitrogen Radicals in Post-Discharge Flows, Y. Shiba, A. Teramoto, T. Suwa, K. Watanabe, S. Nishimura, Y. Shirai, and S. Sugawa, 228th ECS Meeting, 2015年10月11日, 通常, 英語, The Electrochemical Society, Phoenix
  70. 1/f Noise Performances and Noise Sources of Accumulation Mode Si(100) n-MOSFETs, P. Gaubert, A. Teramoto, and S. Sugawa, International Conference on Solid State Devices and Materials, 2015年09月29日, 通常, 英語, 応用物理学会, 札幌
  71. Proposal of Tunneling and Diffusion Current Hybrid MOSFET, K. Furukawa, R. Kuroda, T. Suwa, K. Hashimoto, A. Teramoto, and S. Sugawa, International Conference on Solid State Devices and Materials, 2015年09月29日, 通常, 英語, 応用物理学会, 札幌
  72. Drastic suppression of the 1/f noise in MOSFETs: Fundamental fluctuations of mobility rather than induced mobility fluctuations, P. Gaubert, A. Teramoto, S. Sugawa, International Conference on Noise and Fluctuations, 2015年06月02日, 招待, 英語, IEEE, Xian
  73. Effect of Hydrogen on Silicon Nitrides Formation by Microwave Excited Plasma Enhanced Chemical Vapor Deposition, A. Teramoto, Y. Nakao, T. Suwa, K. Hashimoto, T. Motoya, M. Hirayama, S. Sugawa, and T. Ohmi, 227th ECS Meeting, 2015年05月24日, 通常, 英語, The Electrochemical Society, Chicago
  74. Ultra-Low Temperature Flattening Technique of Silicon Surface Using Xe/H2 Plasma, T. Suwa, A. Teramoto, T. Goto, M. Hirayama, S. Sugawa, and T. Ohmi, 227th ECS Meeting, 2015年05月24日, 通常, 英語, The Electrochemical Society, Chicago
  75. Effect of Process Temperature of Al2O3 Atomic Layer Deposition Using Accurate Process Gasses Supply System, H. Sugita, Y. Koda, T. Suwa, R. Kuroda, T. Goto, H. Ishii, S. Yamashita, A. Teramoto, S. Sugawa, and T. Ohmi, 227th ECS Meeting, 2015年05月24日, 通常, 英語, The Electrochemical Society, Chicago
  76. Surface Metal Cleaning of GaN Surface Based on Redox Potential of Cleaning Solution, K. Nagao, K. Nakamura, A. Teramoto, Y. Shirai, F. Imaizumi, T. Suwa, S. Sugawa, and T. Ohmi, 227th ECS Meeting, 2015年05月24日, 通常, 英語, The Electrochemical Society, Chicago
  77. Crystallinity Improvement of Ferroelectric BiFeO3 Thin Film by Oxygen Radical Treatment, F. Imaizumi, T. Goto, A. Teramoto, S. Sugawa, and T. Ohmi, 227th ECS Meeting, 2015年05月24日, 通常, 英語, The Electrochemical Society, Chicago
  78. Low Temperature Atomically Flattening of Si Surface of Shallow Trench Isolation Pattern, T. Goto, R. Kuroda, T. Suwa, A. Teramoto, N. Akagawa, D. Kimoto, S. Sugawa, T. Ohmi, Y. Kamata, Y. Kumagai, and K. Shibusawa, 227th ECS Meeting, 2015年05月24日, 通常, 英語, The Electrochemical Society, Chicago
  79. ゲート絶縁膜/Si界面の原子オーダー平坦化によるランダムテレグラフノイズ低減効果, 黒田理人・小原俊樹・後藤哲也・赤川直也・木本大幾・寺本章伸・須川成利, 映像情報メディア学会, 2015年05月08日, 通常, 日本語, 映像情報メディア学会, 東京
  80. MOSFETにおけるランダムテレグラフノイズを引き起こすトラップ密度の解析に関する研究, 小原俊樹 寺本章伸 黒田理人 米澤彰浩 後藤哲也 諏訪智之 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2014年10月17日, 通常, 日本語, 電子通信情報学会, 仙台
  81. ラジカル窒化法により形成したSi3N4/Si界面に形成される組成遷移層に関する研究, 諏訪智之、寺本章伸、須川成利、大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2014年10月17日, 通常, 日本語, 電子通信情報学会, 仙台
  82. シリコン表面原子オーダー平坦化技術のSTIプロセス工程への導入, 後藤, 哲也、黒田 理人、赤川 直矢、諏訪 智之、寺本 章伸、李 翔、小原 俊樹、木本 大幾、須川 成利、大見 忠弘、熊谷 勇喜、鎌田 浩、渋沢 勝彦, 電子通信情報学会 シリコン材料・デバイス研究会, 2014年10月16日, 通常, 日本語, 電子通信情報学会, 仙台
  83. Atomically Flattening of Si Surface of SOI and Isolation-patterned Wafers, T. Goto, R. Kuroda, N. Akagawa, T. Suwa, A. Teramoto, X. Li, S. Sugawa, T. Ohmi, Y. Kumagai, Y. Kamata, and K. Sibusawa, International Conference on Solid State Devices and Materials, 2014年09月08日, 通常, 日本語, 応用物理学会, 筑波
  84. Demonstrating Individual Leakage Path from Random Telegraph Signal of Stress Induced Leakage Current, A. Teramoto, T. Inatsuka, T. Obara, N. Akagawa, R. Kuroda, S. Sugawa, and T. Ohmi, IEEE International Reliability Physics Symposium, 2014年06月01日, 通常, 英語, IEEE, Waikoloa
  85. Analyzing Correlation between Multiple Traps in RTN Characteristics, T. Obara, A. Teramoto, A. Yonezawa, R. Kuroda, S. Sugawa, and T. Ohmi, IEEE International Reliability Physics Symposium, 2014年06月01日, 通常, 英語, IEEE, Waikoloa
  86. A Novel Analysis of Oxide Breakdown based on Dynamic Observation using Ultra-High Speed Video Capturing Up to 10,000,000 Frames Per Second, R. Kuroda, F. Shao, D. Kimoto, K. Furukawa, H. Sugo, T. Takeda, K. Miyauchi, Y. Tochigi, A. Teramoto, and S. Sugawa, IEEE International Reliability Physics Symposium, 2014年06月01日, 通常, 英語, IEEE, Waikoloa
  87. Effect of Composition Ratio on Erbium Silicide Work Function on Different Morphology of Si(100) Surface Changed by Alkaline Etching, H. Tanaka, T. Suwa, A. Teramoto, T. Motoya, S. Sugawa, and T. Ohmi, 225th ECS Meeting, 2014年04月01日, 通常, 英語, The Electrochemical Society, Orland
  88. Flattening Technique of (551) Silicon Surface Using Xe/H2 Plasma, T. Suwa, A. Teramoto, S. Sugawa, and T. Ohmi, 225th ECS Meeting, 2014年04月01日, 通常, 英語, The Electrochemical Society, Orland
  89. High Selectivity in Dry Etching of Silicon Nitride over Si Using a Novel Hydrofluorocarbon Etch Gas in a Microwave Excited Plasma for FinFET, Y. Nakao, T. Matsuo, A. Teramoto, H. Utsumi, K. Hashimoto, R. Kuroda, Y. Shirai, S. Sugawa, and T. Ohmi, 225th ECS Meeting, 2014年04月01日, 通常, 英語, The Electrochemical Society, Orland
  90. A statistical evaluation of effective time constants of random telegraph noise with various operation timings of in-pixel source follower transistors, A. Yonezawa, R. Kuroda, A. Teramoto, T. Obara, and S. Sugawa, SPIE-IS&T Electronic Imaging,, 2014年02月02日, 通常, 英語, The international society for optics and photonics, San Francisco
  91. Schottky Barrier Height Between Erbium Silicide and Various Morphology of Si(100) Surface Changed By Alkaline Etching, H. Tanaka, A. Teramoto, S. Sugawa, and T. Ohmi, 224th ECS Meeting, 2013年10月27日, 通常, 英語, The Electrochemical Society, San Francisco
  92. High Performance Normally-Off GaN Mosfets On Si Substrates, H. Kambayshi, N. Ikeda, T. Nomura, H. Ueda, Y. Nirozumi, K. Harada, K. Hasebe, A. Teramoto, S. Sugawa, and T. Ohmi, 224th ECS Meeting, 2013年10月27日, 通常, 英語, The Electrochemical Society, San Francisco
  93. MOSFETのサブスレショルド領域におけるRandom Telegraph Noiseの時定数解析, 米澤彰浩、寺本章伸、小原俊樹、黒田理人、須川成利、大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2013年10月18日, 通常, 日本語, 電子通信情報学会, 仙台
  94. 原子レベル平坦化Si表面のキャリアモビリティ特性に基づくマルチゲートMOSFETの構造設計, 黒田理人、中尾幸久、寺本章伸、須川成利、大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2013年10月17日, 通常, 日本語, 電子通信情報学会, 仙台
  95. Wet cleaning process for GaN surface at room temperature, Y. Tsuji, T. Katsuyama, A. Teramoto, Y. Shirai, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2013年09月24日, 通常, 英語, 応用物理学会, 福岡
  96. Detection of oxidation-induced compressive stress in Si(100) substrate near the SiO2/Si interface with atomic-scale resolution, T. Suwa, K. Nagata, H. Nohira, K. Nakajima, A. Teramoto, A. Ogura, K. Kimura, T. Muro, T. Kinoshita, S. Sugawa, T. Hattori, and T. Ohmi, International Conference on Solid State Devices and Materials, 2013年09月24日, 通常, 英語, 応用物理学会, 福岡
  97. Extraction of Time Constants Ratio over Nine Orders of Magnitude for Understanding Random Telegraph Noise in MOSFETs, T. Obara, A. Yonezawa, A. Teramoto, R. Kuroda, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2013年09月24日, 通常, 英語, 応用物理学会, 福岡
  98. Carrier Mobility on (100), (110), and (551) Oriented Atomically Flattened Si Surfaces for Multi-gate MOSFETs Device Design, R. Kuroda, Y. Nakao, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2013年09月24日, 通常, 英語, 応用物理学会, 福岡
  99. MOSFETのサブスレッショルド領域におけるRandom Telegraph Noiseの時定数解析, 米澤 彰浩, 寺本 章伸, 小原俊樹, 黒田理人, 須川成利, 大見忠弘, 2013 IRPS(IEEE International Reliability Physics Symposium)報告会, 2013年07月25日, 招待, 日本語, IEEE EDS Society Japan Chapter, 東京
  100. Impact of Injected Carrier Types to Stress Induced Leakage Current Using Substrate Hot Carrier Injection Stress, H. W. Park, A. Teramoto, T. Inatsuka, R. Kuroda, S. Sugawa, and T. Ohmi, Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 2013年06月26日, 通常, 英語, 電子通信情報学会 The Institute of Electronics and Information Engineers of Korea, Seoul
  101. Stress induced leakage current generated by hot-hole injection, A. Teramoto, H. W. Park, T. Inatsuka, R. Kuroda, S. Sugawa, and T. Ohmi, CEZAMAT, 18th Conference of "Insulating Films on Semiconductors", 2013年06月25日, 通常, 英語, Cracow
  102. Angle-Resolved Photoelectron Spectroscopy Study on Interfacial Transition Layer and Oxidation-Induced Residual Stress in Si(100) Substarte Near the Interface, T. Suwa, A. Teramoto, K. Nagata, A. Ogura, T. Muro, T. Kinoshita, T. Ohmi, and T. Hattori, CEZAMAT, 18th Conference of "Insulating Films on Semiconductors", 2013年06月25日, 通常, 英語, Cracow
  103. 1/f noise of accumulation mode p- and n-MOSFETs, P. Gaubert, A. Teramoto, S. Sugawa, and T. Ohmi, 22nd International Conference on Noise and Fluctuations, 2013年06月24日, 通常, 英語, IEEE, Montpellier
  104. High-Speed and Highly Accurate Evaluation of Electrical Characteristics in MOSFETs, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on IC Design and Technology, 2013年05月29日, 招待, 英語, IEEE, Pavia
  105. XPS analysis of the terminated-bonding states at GaN surface after chemical and plasma treatments, Y. Tsuji, T. Watanabe, K. Nakamura, I. Makabe, K. Nakata, T. Katsuyama, A. Teramoto, Y. Shirai, S. Sugawa, and T. Ohmi, The 40th International Symposium on Compound Semiconductors, 2013年05月19日, 通常, 英語, 神戸
  106. Effect of Composition Rate on Erbium Silicide Work Function on Different Silicon Surface Orientation, H. Tanaka, A. Teramoto, T. Motoya, S. Sugawa, and T. Ohmi, 223rd ECS Meeting, 2013年05月15日, 通常, 英語, The Electrochemical Society, Toronto
  107. The study of time constant analysis in random telegraph noise at the sub-threshold voltage region, A. Yonezawa, A. Teramoto, T. Obara, R. Kuroda, S. Sugawa, and T. Ohmi, IEEE International Reliability Physics Symposium, 2013年04月14日, 通常, 英語, IEEE, Monterey
  108. Demonstrating Distribution of SILC Values at Individual Leakage Spots , T. Inatsuka, R. Kuroda, A. Teramoto, Y. Kumagai, S. Sugawa, and T. Ohmi, IEEE International Reliability Physics Symposium, 2013年04月14日, 通常, 英語, IEEE, Monterey
  109. High Integrity SiO2/Al2O3 Gate Stack for Normally-off GaN MOSFET, H. Kambayashi, T. Nomura, H. Ueda, K. Harada, Y. Morozumi, K. Hasebe, A. Teramoto, S. Sugawa, and T. Ohmi, MRS Spring Meeting, 2013年04月01日, 通常, 英語, Material research society, San Francisco
  110. Si(100)基板表面極近傍の酸化誘起残留歪の光電子分光による検出, 諏訪智之 寺本章伸 大見忠弘 室隆桂之 木下豊彦 永田晃基 小椋厚志 服部健雄, 第60回応用物理学会春季学術講演会, 2013年03月28日, 通常, 日本語, 応用物理学会, 厚木
  111. Si(100)上界面遷移層内Si 化学結合状態のARPES による解明, 諏訪智之 寺本章伸 大見忠弘 室隆桂之 木下豊彦 服部健雄, 第60回応用物理学会春季学術講演会, 2013年03月28日, 通常, 日本語, 応用物理学会, 厚木
  112. Si(100)上界面遷移層内Si 化学結合状態のARPES による評価手法, 諏訪智之 寺本章伸 大見忠弘 室隆桂之 木下豊彦 服部健雄, 第60回応用物理学会春季学術講演会, 2013年03月28日, 通常, 日本語, 応用物理学会, 厚木
  113. Si(100)上でバルクSiO2とバルクSiとの間に形成される遷移層の解明, 諏訪 智之, 寺本 章伸, 室 隆桂之, 木下 豊彦 , 須川 成利, 大見 忠弘, 服部 健雄, ゲートスタック研究会, 2013年01月25日, 通常, 日本語, 応用物理学会, 熱海
  114. Detection of crystalline like structures in SiO2 thin films formed using oxygen molecules/radicals, K. Nagata, T. Yamaguchi, A. Ogura, T. Koganezawa, I. Hirosawa, T. Suwa, A. Teramoto, T. Hattori, and T. Ohmi, The 6th International Symposium on Advanced Science and Technology of Silicon Materials, 2012年11月19日, 通常, 日本語, 日本学術振興会, Kona
  115. Accumulation-mode SOI CMOS Performance on Very Flat Si(551) Syrface, Akinobu Teramoto, BIT's 2nd Annual World Congress of Nanosciene and Nanotechnology, 2012年10月28日, 招待, 英語, BIT, Qindao
  116. PECVD法を用いたゲートスペーサー用高品質シリコン窒化膜の低温形成プロセス, 中尾 幸久 寺本 章伸 黒田 理人 諏訪 智之 田中 宏明 須川 成利 大見 忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2012年10月26日, 通常, 日本語, 電子通信情報学会, 仙台
  117. Noise Performance of Accumulation MOSFETs, P. Gaubert, A. Teramoto, S. Sugawa, and T. Ohmi, 電子通信情報学会 シリコン材料・デバイス研究会, 2012年10月26日, 通常, 日本語, 電子通信情報学会, 仙台
  118. 微小角入射X線回折を用いたSiO2薄膜中の結晶相の評価, 永田晃基 山口拓也 小椋厚志 小金澤智之 廣澤一郎 諏訪智之 寺本章伸 服部健雄 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2012年10月25日, 通常, 日本語, 電子通信情報学会, 仙台
  119. SiO2/Si(100)界面における組成遷移層に関する研究, 諏訪智之、寺本章伸、室 隆桂之、木下豊彦、須川成利、服部健雄、大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2012年10月25日, 通常, 日本語, 電子通信情報学会, 仙台
  120. Effect of Erbium Silicide Crystallinity for Low Barrier Contact Between Erbium Silicide and n-type Silicon, H. Tanaka, A. Teramoto, S. Sugawa, and T. Ohmi, 222nd ECS Meeting, 2012年10月10日, 通常, 英語, The Electrochemical Society, Honolulu
  121. Comprehensive Study on Chemical Structures of Compositional Transition Layer at SiO2/Si(100) Interface, T. Suwa, A. Teramoto, T. Muro, T. Kinoshita, S. Sugawa, T. Hattori, and T. Ohmi, 222nd ECS Meeting, 2012年10月09日, 通常, 英語, The Electrochemical Society, Honolulu
  122. Dependence of chemical structures of transition layer at SiO2/Si(100) interface on oxidation temperature, annealing in forming gas, and oxidizing species, T. Suwa, A. Teramoto, T. Muro, T. Kinoshita, S. Sugawa, T. Hattori, and T. Ohmi, International Conference on Solid State Devices and Materials, 2012年09月25日, 通常, 英語, 応用物理学会, 京都
  123. High Quality SiO2/Al2O3 Gate Stack for GaN MOSFET, H. Kambayashi, T. Nomura, H. Ueda, K. Harada, Y. Morozumi, K. Hasebe, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2012年09月25日, 通常, 英語, 応用物理学会, 京都
  124. Low frequency noise assessment of accumulation Si p-MOSFETs, P. Gaubert, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2012年09月25日, 通常, 英語, 応用物理学会, 京都
  125. The role of the temperature on the scattering mechanisms limiting the electron mobility in metal-oxide-semiconductor field-effect-transistors fabricated on (110) silicon-oriented wafers, P. Gaubert, A. Teramoto, S. Sugawa, and T. Ohmi, The European Solid-State Device Research Conference, 2012年09月17日, 通常, 英語, CEZAMAT, Bordeaux
  126. 窒化ガリウム表面における汚染粒子除去洗浄の検討, 辻幸洋 中村健一 眞壁勇夫 中田健 勝山造 寺本章伸 白井泰雪 須川成利 大見忠弘, 第73回応用物理学会学術講演会, 2012年09月14日, 通常, 日本語, 応用物理学会, 松山
  127. 微小角入射X線回折による熱酸化およびラジカル酸化SiO2薄膜中の結晶様構造の評価, 永田晃基 山口拓也 小椋厚志 小金澤智之 廣澤一郎 諏訪智之 寺本章伸 服部健雄 大見忠弘, 第73回応用物理学会学術講演会, 2012年09月12日, 通常, 日本語, 応用物理学会, 松山
  128. 100nm-gate-length Normally-off Accumulation-Mode FD-SOI MOSFETs for Low Noise Analog/RF Circuits, H. Utsumi, R. Kasahara, Y. Nakao, R. Kuroda, A. Teramoto, S. Sugawa, and T. Ohmi, Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 2012年06月27日, 通常, 英語, 電子通信情報学会 The Institute of Electronics and Information Engineers of Korea, 那覇
  129. A Novel Chemically, Thermally and Electrically Robust Cu Interconnect Structure with an Organic Non-porous Ultralow-k Dielectric Fluorocarbon (k=2.2), X. Gu, A. Teramoto, R. Kuroda, Y. Tomita, T. Nemoto, S. Kuroki, S. Sugawa, and T. Ohmi, Symposium on VLSI Technology, 2012年06月13日, 通常, 英語, IEEE The Japan Society of Applied Physics, Honolulu
  130. Low Work Function between Erbium Silicide and n-type Silicon Control by Cap Film Stress, H. Tanaka, A. Teramoto, S. Sugawa, and T. Ohmi, 221st ECS Meeting, 2012年05月06日, 通常, 英語, The Electrochemical Society, Seattle
  131. Changes in SiO2/Si(100) Interface Structure Induced by Forming Gas Annealing, T. Suwa, Y. Kumagai, A. Teramoto, T. Muro, T. Kinoshita, S. Sugawa, T. Hattori, and T. Ohmi, 221st ECS Meeting, 2012年05月06日, 通常, 英語, The Electrochemical Society, Seattle
  132. Electrical Properties of Silicon Nitride Using High Density and Low Plasma Damage PECVD Formed at 400[ordinal indicator, masculine]C, Y. Nakao, R. Kuroda, H. Tanaka, A. Teramoto, S. Sugawa, and T. Ohmi, 221st ECS Meeting, 2012年05月06日, 通常, 英語, The Electrochemical Society, Seattle
  133. Statistical analysis of Random Telegraph Noise reduction effect by separating channel from the interface, A. Yonezawa, A. Teramoto, R. Kuroda, H. Suzuki, S. Sugawa, and T. Ohmi, IEEE International Reliability Physics Symposium, 2012年04月15日, 通常, 英語, IEEE, Anaheim
  134. A test circuit for extremely low gate leakage current measurement of 10 aA for 80,000 MOSFETs in 80 s, Y. Kumagai, T. Inatsuka, R. Kuroda, A. Teramoto, T. Suwa, S. Sugawa, and T. Ohmi, IEEE International Conference on Microelectronic Test Structures, 2012年03月19日, 通常, 英語, IEEE, Anaheim
  135. A statistical evaluation of low frequency noise of in-pixel source follower-equivalent transistors with various channel types and body bias, R. Kuroda, A. Yonezawa, A. Teramoto, T. L. Li, Y. Tochigi, and S. Sugawa, SPIE, Sensors, Cameras, and Systems for Industrial and Scientific Applications XIV, 2012年02月19日, 通常, 英語, The international society for optics and photonics, Burlingame
  136. 酸素ラジカルと酸素分子用いて形成したSiO2/Si界面における構造遷移層の相違, 諏訪智之 熊谷勇喜 寺本章伸 木下豊彦 室隆桂之 須川成利 服部健雄 大見忠弘, ゲートスタック研究会, 2012年01月20日, 通常, 日本語, 応用物理学会, 三島
  137. Development of Direct-polish Process of CMP and Post-CMP Clean for Next Generation Advanced Cu Interconnects, X. Gu, Y. Tomita, T. Nemoto, A. Teramoto, R. D. Mateo, T. Sakai, R. Kuroda, S. Sugawa, and T. Ohmi, International Conference on Planarization/CMP Technology, 2011年11月09日, 通常, 英語, CMPUGM JJCNS, Seoul
  138. SiO2/Si界面における構造遷移層の酸化手法依存性, 諏訪智之・熊谷勇喜、寺本章伸、木下豊彦、室隆桂之、服部健雄、大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2011年10月21日, 通常, 日本語, 電子通信情報学会, 仙台
  139. ラジカル反応ベース絶縁膜形成技術における界面平坦化効果と絶縁膜破壊特性との関係, 黒田 理人 寺本 章伸 李 翔 諏訪 智之 須川 成利 大見 忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2011年10月20日, 通常, 日本語, 電子通信情報学会, 仙台
  140. 異常 Stress Induced Leakage Current の発生・回復特性の統計的評価, 稲塚 卓也 熊谷 勇喜 黒田 理人 寺本 章伸 須川 成利 大見 忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2011年10月20日, 通常, 日本語, 電子通信情報学会, 仙台
  141. 埋め込み構造によるMOSFETにおけるランダム・テレグラフ・ノイズの低減, 鈴木裕彌、黒田理人、寺本章伸、米澤彰浩、松岡弘章、中澤泰希、阿部健一、須川成利、大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2011年10月20日, 通常, 日本語, 電子通信情報学会, 仙台
  142. Gate SiO2 Film Integrity on Ultra-Pure Argon Anneal (100) Silicon Surface, A. Teramoto, X. Li, R. Kuroda, T. Suwa, S. Sugawa, and T. Ohmi, 220th ECS Meeting, 2011年10月09日, 通常, 英語, The Electrochemical Society, Boston
  143. Different Properties of Erbium Silicides on Si(100) and Si(551) Orientation Surfaces, H. Tanaka, A. Teramoto, R. Kuroda, Y. Nakao, T. Suwa, S. Sugawa, and T. Ohmi, 220th ECS Meeting, 2011年10月09日, 通常, 英語, The Electrochemical Society, Boston
  144. High Power Normally-Off GaN MOSFET on Si Substrate, H. Kambayashi, Y. Satoh, T. Kokawa, N. Ikeda, T. Nomura, S. Kato, A. Teramoto, S. Sugawa, and T. Ohmi, 220th ECS Meeting, 2011年10月09日, 招待, 英語, The Electrochemical Society, Boston
  145. Impact of Random Telegraph Noise Reduction with Buried Channel MOSFET, H. Suzuki, R. Kuroda, A. Teramoto, A. Yonezawa, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2011年09月28日, 通常, 英語, 応用物理学会, 名古屋
  146. Clear Difference between Chemical Structure of SiO2/Si Interface Formed Using Oxygen Radicals and That Formed Using Oxygen Molecules, T. Suwa, Y. Kumagai, A. Teramoto, T. Muro, T. Kinoshita, S. Sugawa, T. Hattori, and T. Ohmi, International Conference on Solid State Devices and Materials, 2011年09月28日, 通常, 英語, 応用物理学会, 名古屋
  147. High Quality and Low Thermal Budget Silicon Nitride Deposition Using PECVD for Gate Spacer, Silicide Block and Contact Etch Stopper, Y. Nakao, R. Kuroda, H. Tanaka, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2011年09月28日, 通常, 英語, 応用物理学会, 名古屋
  148. On the Si Surface Flattening Effect and Gate Insulator Breakdown Characteristic of Radical Reaction Based Ins u lator Formation Technology, R. Kuroda, A. Teramoto, X. Li, T. Suwa, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2011年09月28日, 通常, 英語, 応用物理学会, 名古屋
  149. High Integrity SiO2 Gate Insulator Formed by Microwave-Excited PECVD for AlGaN/GaN Hybrid MOS-HFET on Si Substrate, H. Kambayashi, T. Nomura, S. Kato, H. Ueda, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2011年09月28日, 通常, 英語, 応用物理学会, 名古屋
  150. Recovery Characteristic of Anomalous Stress Induced Leakage Current of 5.6nm Oxide Films, T. Inatsuka, Y. Kumagai, R. Kuroda, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2011年09月28日, 通常, 英語, The Japan Society of Applied Physics, Nagoya
  151. Evidence of the universality of the hole mobility in accumulation MOS transistors, P. Gaubert, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2011年09月28日, 通常, 英語, 応用物理学会, 名古屋
  152. Process Optimization for Improved Compatibility with Organic Non-porous Low-k Dielectric Fluorocarbon on advanced Cu Interconnects, X. Gu, Y. Tomita, T. Nemoto, K. Miyatani, A. Saito, Y. Kobayashi, A. Teramoto, R. Kuroda, S.-I. Kuroki, T. Nozawa, T. Matsuoka, S. Sugawa, and T. Ohmi, Advanced Metallization Conference, 2011年09月12日, 通常, 英語, 応用物理学会, 東京
  153. Clear Difference between the Chemical Structure of SiO2/Si Interfaces Formed Using Oxygen Radicals and Oxygen Molecules, T. Suwa, A. Teramoto, T. Ohmi, and T. Hattori, Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 2011年06月29日, 通常, 英語, 電子通信情報学会 The Institute of Electronics and Information Engineers, Daejeon
  154. Structural analysis of atomically flat SiO2/Si interface using CTR scattering around the 110 forbidden reflection, K. Nagata, M. Hattori, D. Kosemura, M. Takei, A. Ogura, T. Koganezawa, I. Hirosawa, T. Suwa, A. Teramoto, T. Hattori, and T. Ohmi, E-MRS ICAM IUMRS 2011 Spring Meeting , 2011年05月09日, 通常, 英語, International Union of Materials Research Society, Nice
  155. Cu Damascene Interconnects with an Organic Low-k Fluorocarbon Dielectric Deposited by Microwave Excited Plasma Enhanced CVD, X. Gu, T. Nemoto, Y. Tomita, A. Shirotori, R. Duyos-Mateo, K. Miyatani, A. Saito, Y. Kobayashi, A. Teramoto, S.-I. Kuroki, T. Nozawa, T. Matsuoka, S. Sugawa, and T. Ohmi, IEEE International Interconnect Technology Conference, 2011年05月09日, 通常, 英語, IEEE, Dresden
  156. Pr3Si6N11/Si3N4 Stacked High-k Gate Dielectrics with High Quality Ultrathin Si3N4 Interfacial Layers, H. Wakamatsu, A. Teramoto, and T. Ohmi, 219th ECS Meeting, 2011年05月01日, 通常, 英語, The Electrochemical Society, Montreal
  157. Clear Difference between the Chemical Structure of SiO2/Si Interface Formed Using Oxygen Radicals and that Formed Using Oxygen Molecules, T. Suwa, Y. Kumagai, A. Teramoto, T. Muro, T. Kinoshita, T. Hattori, and T. Ohmi, 219th ECS Meeting, 2011年05月01日, 通常, 英語, The Electrochemical Society, Montreal
  158. Understanding of Traps Causing Random Telegraph Noise Based on Experimentally Extracted Time Constants and Amplitude, K. Abe, A. Teramoto, S. Sugawa, and T. Ohmi, IEEE International Reliability Physics Symposium, 2011年04月10日, 通常, 英語, IEEE, Monterey
  159. 110 禁制反射XRD を用いた原子レベルで平坦なSiO2/Si 界面における歪の評価, 永田晃基 服部真季 小瀬村大亮 武井宗久 小椋厚志 小金澤智之 廣澤一郎 諏訪智之 寺本章伸 服部健雄 大見忠弘, 第58 回応用物理学関係連合講演会, 2011年03月26日, 通常, 日本語, 応用物理学会, 厚木
  160. Observation of Single Atomic Steps on an Ultra-Flat Si (100) Surface by a Differential Interference Contrast Microscope, Y.-G. Kim, S.-i. Kobayashi, R. Wen, K. Yasuda, T. Suwa, R. Kuroda, X. Li, A. Teramoto, T. Ohmi, and K. Itaya, he 2011 WPI-AIMR Annual Workshop, 2011年02月21日, 通常, 英語, 東北大学, 仙台
  161. Ar/O2ラジカル酸化改質されたCVD-SiO2膜のX線反射率による密度分布評価 , 河瀬和雅 寺本章伸 梅田浩司 諏訪智之 上原康 服部健雄 大見忠弘, ゲートスタック研究会, 2011年01月21日, 通常, 日本語, 応用物理学会, 東京
  162. High reliable SiO2 Films on Atomically Flat Silicon Surface Formed by Low Temperature Pure Ar Annealing, X. Li, R. Kuroda, T. Suwa, A. Teramoto, S. Sugawa, and T. Ohmi, International Workshop on Dielectric Thin Film For Future Electron devices, 2011年01月20日, 通常, 英語, 応用物理学会, 東京
  163. Ultra-low Series Resistance W/ErSi2/n+-Si and W/Pd2Si/p+-Si S/D Electrodes for Advanced CMOS Platform, R. Kuroda, H. Tanaka, Y. Nakao, A. Teramoto, N. Miyamoto, S. Sugawa, and T. Ohmi, International Electron Device Meeting, 2010年12月06日, 通常, 英語, IEEE, San Francisco
  164. MOSFETにおけるランダムテレグラフシグナルの統計的評価手法, 寺本章伸 阿部健一 須川成利 大見忠弘, 応用物理学会 シリコンテクノロジー分科会 & 電子通信情報学会 シリコン材料・デバイス研究会, 2010年11月11日, 通常, 日本語, 電子通信情報学会, 仙台
  165. RTSにおけるキャリアトラップ準位の統計的評価, 寺本 章伸, 応用物理学会 シリコンテクノロジー分科会, 2010年10月22日, 招待, 日本語, 応用物理学会, 東京
  166. 原子スケールで平坦なSiO2/Si酸化膜界面歪の評価, 服部真季、小瀬村大亮、武井宗久、永田晃基、赤松弘彬、富田基裕、水上雄輝、橋口裕樹、山口拓也、小椋厚志、諏訪智之、寺本章伸、服部健雄、大見忠弘、小金澤智之, 電子通信情報学会 シリコン材料・デバイス研究会, 2010年10月22日, 通常, 日本語, 電子通信情報学会, 仙台
  167. 酸素ラジカルを用いて形成したSiO2/Si界面における組成遷移と価電子帯オフセットの基板面方位依存性, 諏訪智之 熊谷勇喜 寺本章伸 大見忠弘 服部健雄, 電子通信情報学会 シリコン材料・デバイス研究会, 2010年10月22日, 通常, 日本語, 電子通信情報学会, 仙台
  168. 次世代LSI向け低誘電率絶縁膜/Cuダマシン配線の形成, 谷クン 根本剛直 富田祐吾 寺本章伸 黒木伸一郎 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2010年10月22日, 通常, 日本語, 電子通信情報学会, 仙台
  169. ULSI用低抵抗コンタクトのための低バリアハイトメタルシリサイドの形成, 田中宏明 黒田理人 中尾幸久 寺本章伸 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2010年10月21日, 通常, 日本語, 電子通信情報学会, 仙台
  170. Tribological Effects of Brush Scrubbing in Post-CMP Cleaning on the Electrical Characteristics in the Novel Non-porous Low-k Dielectric on Cu Interconnects, X. Gu, T. Nemoto, Y. Tomita, A. Teramoto, S. Sugawa, and T. Ohmi, Advanced Metallization Conference, 2010年10月19日, 通常, 英語, 応用物理学会, 東京
  171. Electrical Characteristics of Novel Non-porous Low-k Dielectric Fluorocarbon on Cu Interconnects for 22nm Generation and Beyond, X. Gu, T. Nemoto, Y. Tomita, K. Miyatani, A. Saito, Y. Kobayashi, A. Teramoto, S.-I. Kuroki, T. Nozawa, T. Matsuoka, S. Sugawa, and T. Ohmi, Advanced Metallization Conference, 2010年10月19日, 通常, 英語, 応用物理学会, 東京
  172. Evaluation of Narrow Gap Filling Ability in Shallow Trench Isolation by Organosiloxane Sol-Gel Precursor, K. Watanuki, A. Inokuchi, A. Banba, N. Manabe, H. Suzuki, T. Koike, T. Adachi, T. Goto, A. Teramoto, Y. Shirai, S. Sugawa, and T. Ohmi, 218th ECS Meeting, 2010年10月10日, 通常, 英語, The Electrochemical Society, Las Vegas
  173. RTN測定の高精度化・高速化技術とRTN特性に強い影響度を示すプロセス条件, 阿部健一 寺本章伸 須川成利 大見忠弘, 映像情報メディア学会, 2010年09月27日, 通常, 日本語, 映像情報メディア学会, 東京
  174. Large Scale Test Circuits for Systematic Evaluation of Variability and Noise of MOSFETs’ Electrical Characteristics, Y. Kumagai, K. Abe, T. Fujisawa, S. Watabe, R. Kuroda, N. Miyamoto, T. Suwa, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2010年09月22日, 通常, 英語, 応用物理学会, 東京
  175. Drastic reduction of the low frequency noise in Si(100) p-MOSFETs , P. Gaubert, A. Teramoto, R. Kuroda, Y. Nakao, H. Tanaka, and T. Ohmi, International Conference on Solid State Devices and Materials, 2010年09月22日, 通常, 英語, 応用物理学会, 東京
  176. Impact of the Channel Direction Dependent Low Field Hole Mobility on Si(100), R. Kuroda, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2010年09月22日, 通常, 英語, 応用物理学会, 東京
  177. Strain field under SiO2/Si interface revealed by multiple X-ray diffraction phenomenon, W. Yashiro, Y. Yoda, T. Aratani, A. Teramoto, T. Hattori, and K. Miki, The Eleventh International Conference on Surface X-ray and Neutron Scattering, 2010年07月13日, 通常, 英語, DESY, Evanston
  178. Statistical evaluation for trap energy level of RTS characteristics, A. Teramoto, T. Fujisawa, K. Abe, S. Sugawa, and T. Ohmi, Symposium on VLSI Technology, 2010年06月15日, 通常, 英語, IEEE 応用物理学会, Honolulu
  179. Statistical evaluation of dynamic junction leakage current fluctuation using a simple arrayed capacitors circuit, K. Abe, T. Fujisawa, H. Suzuki, S. Watabe, R. Kuroda, S. Sugawa, A. Teramoto, and T. Ohmi, IEEE International Reliability Physics Symposium, 2010年05月02日, 通常, 英語, IEEE, Anaheim
  180. Impact of Work Function Optimized S/D Silicide Contact for High Current Drivability CMOS, Y. Nakao, R. Kuroda, H. Tanaka, T. Isogai, A. Teramoto, S. Sugawa, and T. Ohmi, 217th ECS Meeting, 2010年04月26日, 通常, 英語, The Electrochemical Society, Vancouver
  181. Atomically Flattening Technology at 850 ºC for Si(100) Surface, X. Li, A. Teramoto, T. Suwa, R. Kuroda, S. Sugawa, and T. Ohmi, 217th ECS Meeting, 2010年04月25日, 通常, 英語, The Electrochemical Society, Vancouver
  182. A Test Structure for Statistical Evaluation of pn Junction Leakage Current Based on CMOS Image SensorTechnology, K. Abe, T. Fujisawa, H. Suzuki, S. Watabe, R. Kuroda, S. Sugawa, A. Teramoto, and T. Ohmi, IEEE International Conference on Microelectronic Test Structures, Hiroshima, 2010年03月22日, 通常, 英語, IEEE, 広島
  183. Qualification of Dynamic Pressure Distribution on Wafer by Pressure Sensing Sheet during Polishing, X. Gu, T. Nemoto, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Planarization/CMP Technology, 2009年11月19日, 通常, 英語, SEMI, 福岡
  184. The Study of Electrical and Structual Properties of SiO2 Film Containing Metal oxide using Organosiloxane-based Silica Precursor, K. Watanuki, A. Inokuchi, A. Bamba, H. Suzuki, T. Koike, T. Adachi, A. Teramoto, Y. Shirai, S. Sugawa, and T. Ohmi, AVS 56th International Symposium & Exhibition, 2009年11月08日, 通常, 英語, AVS, San Jose
  185. ラジカル酸化法により形成したSiO2/Si界面に形成される構造遷移層に関する研究, 諏訪智之 寺本章伸 大見忠弘 服部健雄 木下豊彦 室桂之 加藤有香子, 電子通信情報学会 シリコン材料・デバイス研究会, 2009年10月22日, 通常, 日本語, 電子通信情報学会, 仙台
  186. 大規模アレイTEGを用いた長時間測定によるランダム・テレグラフ・シグナルの統計的評価, 藤澤孝文 阿部健一 渡部俊一 宮本直人 寺本章伸 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2009年10月22日, 通常, 日本語, 電子通信情報学会, 仙台
  187. Low Contact Resistance with Low Silicide/p+-Silicon Schottky Barrier for High Performance p-channel MOSFETs , H. Tanaka, T. Isogai, T. Goto, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2009年10月07日, 通常, 英語, 応用物理学会, 仙台
  188. Impact of Very Low Series Resistance due to Raised Metal S/D Structure with Very Low Contact Resistance Silicide for sub-100-nm nMOSFET, R. Kuroda, T. Isogai, H. Tanaka, Y. Nakao, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2009年10月07日, 通常, 英語, 応用物理学会, 仙台
  189. Suppression of Vth Variability for n-MOSFET in Dual Oxide Formation Process, Y. Kamata, K. Shibusawa, K. Abe, S. Sugawa, A. Teramoto, and T. Ohmi, International Conference on Solid State Devices and Materials, 2009年10月07日, 通常, 英語, 応用物理学会, 仙台
  190. Statistical Analysis of Time Constant Ratio of Random Telegraph Signal with Very Large-Scale Array TEG, T. Fujisawa, K. Abe, S. Watabe, N. Miyamoto, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2009年10月07日, 通常, 英語, 応用物理学会, 仙台
  191. Light-emitting Diode Based on ZnO by Plasma Enhanced MOCVD Employing Microwave Exited Plasma , H. Asahara, D. Takamizu, A. Inokuchi, M. Hirayama, A. Teramoto, and T. Ohmi, International Conference on Solid State Devices and Materials, 2009年10月07日, 通常, 英語, 応用物理学会, 仙台
  192. Impact of Channel Doping Concentration on Random Telegraph Signal Noise, K. Abe, A. Teramoto, S. Watabe, T. Fujisawa, S. Sugawa, Y. Kamata, K. Shibusawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2009年10月07日, 通常, 英語, 応用物理学会, 仙台
  193. Very High Performance CMOS on Si(551) Surface Using Radical Oxidation Silicon Flattening Technology and Accumulation-Mode SOI Device Structure, W. Cheng, A. Teramoto, and T. Ohmi, 216th ECS Meeting, 2009年10月04日, 通常, 英語, The Electrochemical Society, Vienna
  194. Damage free very low electron temperature plasma process for low Flicker noise in p-MOS fabricated on (100) and (110) silicon-oriented wafers, P. Gaubert, A. Teramoto, T. Ohmi., The 39th European Solid-State Device Research Conference, 2009年09月14日, 通常, 英語, IEEE, Athens
  195. Obvious suppression of performance degradation induced by thermal effect in SOI power LDMOSFETs using accumulation mode device structure, W. Cheng, A. Teramoto, and T. Ohmi, 13th European Conference on Power Electronics and Applications, 2009年09月08日, 通常, 日本語, IEEE, Barcelona
  196. Experimental demonstration and analysis of high performance and low 1/f noise Tri-gate MOSFETs by optimizing device structure, W. Cheng, A. Teramoto, T. Ohmi, The 16th International Conference Insulating Films on Semiconductors, 2009年06月29日, 通常, 英語, Cambridge
  197. MOS Transistors fabricated on Si(551) surface based on radical reaction processes, A. Teramoto, W. Cheng, C. F. Tye, S. Sugawa, and T. Ohmi, Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 2009年06月24日, 招待, 日本語, The Institute of Electronics and Information Engineers The Institute of Electronics, Information and Communication Engineers, Busan
  198. A Statistical Analysis of Distributions of RTS Characteristics by Wide-Range Sampling Frequencies, K. Abe, T. Fujisawa, A. Teramoto, S. Watabe, S. Sugawa, and T. Ohmi, sia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 2009年06月24日, 通常, 英語, The Institute of Electronics and Information Engineers The Institute of Electronics, Information and Communication Engineers, Busan
  199. Study on Compositional Transition Layers at Gate Dielectrics/Si Interface by using Angle-resolved X-ray Photoelectron, T. Suwa, T. Aratani, M. Higuchi, S. Sugawa, E. Ikenaga, J. Ushio, H. Nohira, A. Teramoto, T. Ohmi, and T. Hattori, Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 2009年06月24日, 通常, 英語, The Institute of Electronics and Information Engineers The Institute of Electronics, Information and Communication Engineers, Busan
  200. Suppression of 1/f Noise in Accumulation Mode FD-SOI MOSFETs on Si(100) and (110) Surfaces, W. Cheng, C. Tye, P. Gaubert, A. Teramoto, S. Sugawa, and T. Ohmi, 20th International Conference on Noise and Fluctuations, 2009年06月14日, 通常, 英語, IEEE, Pisa
  201. Thermal Decomposition Characteristics of Metal-organic Materials of Zinc Oxide for Evaluation as MOCVD Precursor, K. Watanuki, H. Asahara, A. Inokuchi, T. Kadota, C. Hasegawa, H. Kanato, A. Teramoto, Y. Shirai, and T. Ohmi, 215th ECS Meeting, 2009年05月24日, 通常, 英語, The Electrochemical Society, San Francisco
  202. UV-Raman Spectroscopy Study on SiO2/Si Interface, M. Hattori, T. Yoshida, D. Kosemura, A. Ogura, T. Suwa, A. Teramoto, T. Hattori, and T. Ohmi, 215th ECS Meeting, 2009年05月24日, 通常, 英語, The Electrochemical Society, San Francisco
  203. Reduction of Scratch on Brush Scrubbing in Post CMP Cleaning by Analyzing Contact Kinetics on Ultra Low-k Dielectric, X. Gu, T. Nemoto, A. Teramoto, T. Ito, and T. Ohmi, 215th ECS Meeting, 2009年05月24日, 通常, 英語, The Electrochemical Society, San Francisco
  204. Atomically Flat Interface for the Reduction of the Low Frequency Noise on Si(100) nMOS Transistors, P. Gaubert, R. Kuroda, S. Endo, Y. Kuboyama, T. Kitagaki, H. Nada, H. Tamura, A. Teramoto, and T. Ohmi, 215th ECS Meeting, 2009年05月24日, 通常, 英語, The Electrochemical Society, San Francisco
  205. マグネトロンスパッタによるSiO2基板上への微結晶SiGeの堆積, 廣江昭彦, 後藤哲也, 寺本章伸, 大見忠弘., 電子通信情報学会 電子デバイス研究会, 2009年05月07日, 通常, 日本語, 電子通信情報学会, 豊橋
  206. Asymmetry of RTS characteristics along source-drain direction and statistical analysis of process-induced RTS, K. Abe, Y. Kumagai, S. Sugawa, S. Watabe, T. Fujisawa, A. Teramoto, and T. Ohmi, IEEE International Reliability Physics Symposium, 2009年04月26日, 通常, 英語, IEEE, Montreal
  207. Optimizing Pad Groove Design and Polishing Kinematics for Reduced Shear Force, Low Force Fluctuation and Optimum Removal Rate Attributes of Copper CMP, Y. Sampurno, A. Philipossian, S. Theng, T. Nemoto, X. Gu, Y. Zhuang, A. Teramoto, and T. Ohmi, MRS Spring Meeting, 2009年04月13日, 通常, 英語, Material Research Society, San Francisco
  208. Effect of Pad Groove Design and Polisher Kinematics in Reducing Average and Variance of Shear Force and Increasing Removal Rate in Copper CMP, Y. Sampurno, A. Philipossian, S. Theng, T. Nemoto, X. Gu, Y. Zhuang, A. Teramoto, and T. Ohmi, MRS Spring Meeting, 2009年04月13日, 通常, 英語, Material Research Society, San Francisco
  209. Novel End-point Detection Method by Monitoring Shear Force Oscillation Frequency for Barrier Metal Polishing in Advanced LSI, X. Gu, T. Nemoto, A. Philipossian, J. Cheng, Y. A. Sampurno, Y. Zhuang, A. Teramoto, T. Ito, and T. Ohmi, MRS SPRING MEETING, 2009年04月13日, 通常, 英語, Material Research Society, San Francisco
  210. A Test Structure for Statistical Evaluation of Characteristics Variability in a Very Large Number of MOSFETs, S. Watabe, S. Sugawa, K. Abe, T. Fujisawa, N. Miyamoto, A. Teramoto, and T. Ohmi, IEEE International Conference on Microelectronic Test Structures, 2009年03月30日, 通常, 英語, IEEE, Oxnard
  211. Advanced Method for Measuring Ultra-Low Contact Resistivity Between Silicide and Silicon Based on Cross Bridge Kelvin Resistor, T. Isogai, H. Tanaka, A. Teramoto, T. Goto, S. Sugawa, and T. Ohmi, IEEE International Conference on Microelectronic Test Structures, 2009年03月30日, 通常, 英語, IEEE, Oxnard
  212. Accurate Time Constant of Random Telegraph Signal Extracted by a Sufficient Long Time Measurement in Very Large-Scale Array TEG, T. Fujisawa, K. Abe, S. Watabe, N. Miyamoto, A. Teramoto, S. Sugawa, and T. Ohmi, IEEE International Conference on Microelectronic Test Structures, 2009年03月30日, 通常, 英語, IEEE, Oxnard
  213. Micro Crystalline Si1-xGex Deposited by Magnetron Sputtering, A. Hiroe, T. Goto, A. Teramoto, and T. Ohmi, 214th ECS Meeting, 2008年10月12日, 通常, 英語, The Electrochemical Society, Honolulu
  214. Three-Step Room Temperature Cleaning of Bare Silicon Surface for Radical Based Semiconductor Manufacturing, R. Hasebe, A. Teramoto, R. Kuroda, T. Suwa, S. Sugawa, and T. Ohmi, 214th ECS Meeting, 2008年10月12日, 通常, 英語, The Electrochemical Society, Honolulu
  215. Hole Mobility in Si(110) p-MOS Transistors, P. Gaubert, A. Teramoto, T. Ohmi, 214th ECS Meeting, 2008年10月12日, 通常, 英語, The Electrochemical Society, Honolulu
  216. Improved High Temperature Characteristics in Accumulation-mode Fully Depleted SOI MOSFETs on Si(100) and (110) Surfaces, W. Cheng, A. Teramoto, and T. Ohmi, 214th ECS Meeting, 2008年10月12日, 通常, 英語, The Electrochemical Society, Honolulu
  217. MOSFET特性ばらつき、RTSノイズの統計的評価, 藤澤孝文、須川成利、渡部俊一、阿部健一、寺本章伸・大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2008年10月09日, 通常, 日本語, 電子通信情報学会, 仙台
  218. 原子オーダ平坦化ウェハ表面のAFM評価手法及びデータ解析手法, 譽田正宏 寺本章伸 諏訪智之 黒田理人 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2008年10月09日, 通常, 日本語, 電子通信情報学会, 仙台
  219. ノンポーラスULK層間膜(フロロカーボン)へのダメージを抑制したCu-CMP後洗浄液の評価, 谷クン, 根本剛直, 寺本章伸, 伊藤隆司, 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2008年10月09日, 通常, 日本語, 電子通信情報学会, 仙台
  220. 高精度CMP終点検出方法の検討, 谷クン 根本剛直 Philipossian, Ara Sampurno, Yasa Adi Cheng, Jiang Zhuang, Yun 寺本章伸 伊藤隆司 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2008年10月09日, 通常, 日本語, 電子通信情報学会, 仙台
  221. 角度分解光電子分光法によるゲート絶縁膜/シリコン基板界面に形成される構造遷移層に関する研究, 諏訪智之 荒谷崇 樋口正顕 須川成利 池永英司 牛尾二郎 野平博司 寺本章伸 大見忠弘 服部健雄, 電子通信情報学会 シリコン材料・デバイス研究会, 2008年10月09日, 通常, 日本語, 電子通信情報学会, 仙台
  222. Stress Induced Leakage CurrentとRandom Telegraph Signalノイズとの相関, 熊谷勇喜 寺本章伸 阿部健一 藤澤孝文 渡部俊一 諏訪智之 宮本直人 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2008年10月08日, 通常, 日本語
  223. CMOSFET Featuring Atomically Flat Gate Insulator Film/Silicon Interface on (100) Orientation Surface, R. Kuroda, A. Teramoto, T. Suwa, Y. Nakao, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2008年09月23日, 通常, 英語, 応用物理学会, 筑波
  224. A Study on Very High Performance Novel Balanced FD-SOI CMOSFETs on Si(110) Using Accumulation Mode Device Structure for RF Analog Circuits, W. Cheng, A. Teramoto, C.-F. Tye, R. Kuroda, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2008年09月23日, 通常, 英語, 応用物理学会, 筑波
  225. Impact of Tungsten Capping Layer on Yttrium Silicide for Low Resistance Source/Drain Contacts, T. Isogai, H. Tanaka, T. Goto, A. Teramoto, S. Sugawa and T. Ohmi, International Conference on Solid State Devices and Materials, 2008年09月23日, 通常, 英語, 応用物理学会, 筑波
  226. Effects of Ion-Bombardment-Assist and High Temperature on Growth of Zinc Oxide Films by Microwave Excited High Density Plasma Enhanced MOCVD, H. Asahara, At. Inokuchi, K. Watanuki1, M. Hirayama, A. Teramoto, and T. Ohmi, International Conference on Solid State Devices and Materials, 2008年09月23日, 通常, 英語, 応用物理学会, 筑波
  227. μc-Si1-xGex Deposition on SiO2 by RF Magnetron Sputtering, A. Hiroe, T. Goto, A. Teramoto, and T. Ohmi, International Conference on Solid State Devices and Materials, 2008年09月23日, 通常, 英語, 応用物理学会, 筑波
  228. Anomalous RTS Extractions from a Very Large Number of n-MOSFETs using TEG with 0.47 Hz - 3.0 MHz Sampling Frequency, K. Abe, T. Fujisawa, A. Teramoto, S. Watabe, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2008年09月23日, 通常, 英語, 応用物理学会, 筑波
  229. Effect of various cleaning solutions and brush scrubber kinematics on the frictional attributes of post copper CMP cleaning process, Y. Zhuang, T. Sun, Y. Sampurno, X. Gu, T. Nemoto, F. Sudatgho, S. N. Theng, A. Philipossian, A. Teramoto, and T. Ohmi, Tthe 9th International Symposium on Ultra Clean Processing of Semiconductor Surfaces, 2008年09月21日, 通常, 英語, IMEC, Bruges
  230. 3-step room temperature wet cleaning process for silicon substrate, R. Hasebe, A. Teramoto, R. Kuroda, T. Suwa, S. Sugawa, and T. Ohmi, The 9th International Symposium on Ultra Clean Processing of Semiconductor Surfaces, 2008年09月21日, 通常, 英語, IMEC, Bruges
  231. Damage-free post-CMP cleaning solution for Low-K fluorocarbon on advanced interconnects, X. Gu, A. Teramoto, T. Nemoto, R. Hasebe, T. Ito, and T. Ohmi, The 9th International Symposium on Ultra Clean Processing of Semiconductor Surfaces, 2008年09月21日, 通常, 英語, IMEC, Bruges
  232. Atomically flat gate insulator/silicon (100) interface formation introducing high mobility, ultra-low noise, and small characteristics variation CMOSFET, R. Kuroda, A. Teramoto, T. Suwa, R. Hasebe, X. Li, M. Konda, S. Sugawa, and T. Ohmi, 38th European Solid-State Device Research Conference, 2008年09月15日, 通常, 英語, IEEE, Edinburgh
  233. Impact of Performance and Reliability Boosters in Novel FD-SOI CMOS Devices on Si(110) Surface for Analog Applications, W. Cheng, A. Teramoto, R. Kuroda, C.-F. Tye, S. Watabe, S. Sugawa, and T. Ohmi, 29th International Conference on the Physics of Semiconductors, 2008年07月27日, 通常, 英語, The International Unions of Pure and Applied Physics, Rio de Janeiro
  234. The data analysis technique of the atomic force microscopy for the atomically flat silicon surface, M. Konda, A. Teramoto, T. Suwa, R. Kuroda, and T. Ohmi, Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 2008年07月02日, 通常, 英語, The Institute of Electronics, Information and Communication Engineers The Institute of Electronics and Information Engineers, 札幌
  235. A Material of Semiconductor Package with Low Dielectric Constant, Low Dielectric Loss and Flat Surface for High Frequency and Low Power Propagation, H. Imai, M. Sugimura, M. Kawasaki, A. Teramoto, S. Sugawa, and T. Ohmi, Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 2008年07月02日, 通常, 英語, The Institute of Electronics, Information and Communication Engineers The Institute of Electronics and Information Engineers, 札幌
  236. Stress Induced Leakage Current and Random Telegraph Signal, A. Teramoto, Y. Kumagai, K. Abe, T. Fujisawa, S. Watabe, T. Suwa, N. Miyamoto, S. Sugawa, and T. Ohmi, 15th Workshop on Dielectrics in Microelectronics, 2008年06月20日, 通常, 英語, Innovations for High Performance Microelectronics, Berlin
  237. Different mechanism to explain the 1/f noise in n-and p-SOI-MOS transistors fabricated on (110) and (100) silicon oriented wafers, P. Gaubert, A. Teramoto, W. Cheng, T. Hamada, and T. Ohmi, 5th Workshop on Dielectrics in Microelectronics, 2008年06月20日, 通常, 英語, Innovations for High Performance Microelectronics, Berlin
  238. A new approach to realize high performance RF power FETs on Si(110) surface, W. Cheng, A. Teramoto, and T. Ohmi, IEEE Power Electronics Specialists Conference, 2008年06月15日, 通常, 英語, IEEE, Rohdes
  239. New insulation material with flat-surface, low coefficient of thermal expansion, low-dielectric-loss for next generation semiconductor packages, M. Sugimura, H. Imai, M. Kawasaki, K. Kamata, K. Fujii, Y. Fujito, S. Yonehara, A. Teramoto, S. Sugawa, and T. Ohmi, Electronic Components and Technology Conference, 2008年05月27日, 通常, 英語, IEEE, Lake Buena Vista
  240. Impact of New Approach to Improve RF Power FETs Performance on Si(110) Surface, W. Cheng, A. Teramoto, and T. Ohmi, 213th ECS Meeting, 2008年05月18日, 通常, 英語, The Electrochemical Society, Phoenix
  241. Statistical evaluation for anomalous SILC of tunnel oxide using integrated array TEG, Y. Kumagai, A. Teramoto, S. Sugawa, T. Suwa, and T. Ohmi, IEEE International Reliability Physics Symposium, 2008年04月27日, 通常, 英語, IEEE, Phoenix
  242. International Semiconductor Technology Conference, T. Nemoto, G. Xun, H. Imai, A. Teramoto, T. Ito, S. Sugawa, and T. Ohmi, MRS Spring Meeting, 2008年03月24日, 通常, 英語, Material Research Society, San Francisco
  243. Characterization of MOSFETs intrinsic performance using in-wafer advanced Kelvin-contact device structure for high performance CMOS LSIs, R. Kuroda, A. Teramoto, T. Komuro, C. Weitao, S. Watabe, T. Ching Foa, S. Sugawa, and T. Ohmi, IEEE International Conference on Microelectronic Test Structures, 2008年03月24日, 通常, 英語, IEEE, Edinburgh
  244. High Performance Bottom Gate μc-Si TFT Fabricated by Microwave Plasma CVD, A. Hiroe, A. Teramoto, and T. Ohmi, MRS Symposium, 2008年03月24日, 通常, 英語, Material Research Society, San Francisco
  245. The Cleaning Method Which is Able to Keep the Smoothness of Si (100), X. Li, X. Gu, A. Teramoto, R. Kuroda, R. Hasebe, T. Suwa, N. Yu, S. Sugawa, T. Ito and T. Ohmi, International Semiconductor Technology Conference 2008, 2008年03月15日, 通常, 英語, The Institute of Scientific and Technical Communicators, Shanghai
  246. Atomically Flat Gate Insulator/Silicon (100) Interface Formation Technology for High Performance LSI, A. Teramoto, R. Kuroda, T. Suwa and T. Ohmi, WPI & IFCAM Joint Workshop -Challenge of Interdisciplinary Materials Science to Technological Innovation of the 21st Century, 2008年02月, 通常, 英語, 東北大学, 仙台
  247. High performance Bottom Gate μc-Si TFT Fabricated by Low Damage, High Density Plasma Source, A. Hiroe, M. Hirayama, Y. Shirai, A. Teramoto and T.Ohmi, The 14th International Display Workshops, 2007年12月05日, 通常, 英語, The Institute of Image Information and Television Engineers The Society for Information Display, 札幌
  248. Development of a high efficiency PFC abatement system utilizing plasma and Ca(OH)2/CaO under a decompression atmosphere, K. Suzuki, Y. Ishihara, K. Sakoda, Y. Shirai, M. Hirayama, A. Teramoto, T. Ohmi, and T. Watanabe, International Symposium on Semiconductor Manufacturing, 2007年10月15日, 通常, 英語, IEEE, Santa Clara
  249. Development of a Xenon recycling and supply system for plasma process, M. Yamawaki, T. Urakami, Y. Ishihara, Y. Shirai, A. Teramoto, and T. Ohmi, International Symposium on Semiconductor Manufacturing, 2007年10月15日, 通常, 英語, IEEE, Santa Clara
  250. Performance Boost Using a New Device Structure Design for SOI MOSFETs Beyond 25nm Node, W. Cheng, A. Teramoto, and T. Ohmi, 212th ECS Meeting, 2007年10月07日, 通常, 英語, The Electrochemical Society, Washington D.C.
  251. マイクロ波CVDを用いた高移動度ボトムゲート微結晶シリコンTFTの試作, 廣江昭彦 寺本章伸 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2007年10月04日, 通常, 日本語, IEICE, 仙台
  252. プラズマプロセスによるMOSFET特性ばらつきの統計的評価, 渡部俊一 須川成利 阿部健一 藤澤孝文 宮本直人 寺本章伸 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2007年10月04日, 通常, 日本語, 仙台
  253. 先端DRAMでのSiONゲート絶縁膜における窒素プロファイルと素子特性について, 村川恵美 竹内政志 本田稔 石塚修一 中西敏雄 廣田良浩 菅原卓也 田中義嗣 赤坂泰志 寺本章伸 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2007年10月04日, 通常, 日本語, 電子通信情報学会, 仙台
  254. 低誘電率アモルファスハイドロカーボン(aCHx)膜の銅配線バリアー特性の検討, 石川拓 野沢俊久 松岡孝明 寺本章伸 平山昌樹 伊藤隆司 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2007年10月04日, 通常, 日本語, 電子通信情報学会, 仙台
  255. シリコン表面の原子オーダー平坦化技術, 諏訪智之 黒田理人 寺本章伸 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2007年10月04日, 通常, 日本語, 電子通信情報学会, 仙台
  256. 大規模アレイTEGを用いたランダム・テレグラフ・シグナルの統計的評価, 阿部健一 須川成利 黒田理人 渡部俊一 寺本章伸 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2007年10月04日, 通常, 日本語, 電子通信情報学会, 仙台
  257. Modeling and Implementation of Subthreshold Characteristics of Accumulation-Mode MOSFETs for Various SOI Layer Thickness and Impurity Concentrations, R. Kuroda, A. Teramoto, W. Cheng, S. Sugawa, and T. Ohmi, IEEE International SOI Conference, 2007年10月01日, 通常, 英語, IEEE, Indian Wells
  258. Performance Comparison of Ultra-thin FD-SOI Inversion-, Intrinsic-and Accumulation- Mode MOSFETs, R. Kuroda, A. Teramoto, S. Sugawa and T. Ohmi, International Conference on Solid State Devices and Materials, 2007年09月18日, 通常, 英語, 応用物理学会, 筑波
  259. he Evaluation of New Amorphous Hydrocarbon Film aCHx, for Copper Barrier Dielectric Film in Low-k Copper Metallization, H. Ishikawa, T. Nozawa, T. Matsuoka, A. Teramoto, M. Hirayama, T. Ito and T. Ohmi, International Conference on Solid State Devices and Materials, 2007年09月18日, 通常, 英語, 応用物理学会, 筑波
  260. Low Dielectric Constant Non- Porous Fluorocarbon Films for Inter-Layer Dielectric, A. Itoh, A. Inokuchi, S. Yasuda, A. Teramoto, T. Goto, M. Hirayama and T. Ohmi, International Conference on Solid State Devices and Materials, 2007年09月18日, 通常, 英語, 応用物理学会, 筑波
  261. Low Contract Resistance with Low Schottky Barrier for N-Type Silicon Using Yttrium Silicide, T. Isogai, H. Tanaka, T. Goto, A. Teramoto, S. Sugawa and T. Ohmi, International Conference on Solid State Devices and Materials, 2007年09月18日, 通常, 英語, 応用物理学会, 筑波
  262. Characterization of Zinc Oxide Films Grown by a Newly Developed Plasma Enhanced MOCVD Employing Microwave Excited High Destiny Plasma, H. Asahara, A. Inokuchi, K.Watanuki, M. Hirayama, A. Teramoto and T. Ohmi, International Conference on Solid State Devices and Materials, 2007年09月18日, 通常, 英語, 応用物理学会, 筑波
  263. Statistical Analysis of RTS Noise and Low Frequency Noise in 1M MOSFETs Using an Advanced TEG, K. Abe, S. Sugawa, S. Watabe, N. Miyamoto, A. Teramoto, M. Toita, Y. Kamata, K. Shibusawa, and T. Ohmi, 9th International Conference on Noise and Fluctuations, 2007年09月09日, 通常, 英語, IEEE, 東京
  264. Impact of the channel direction on the 1/f noise in SOI- MOSFETs fabricated on (100) and (110) silicon oriented wafers, P. Gaubert, W. Cheng, A. Teramoto and T. Ohmi, 19th International Conference on NOISE AND FLUCTUATIONS-ICNF2007, 2007年09月09日, 通常, 英語, IEEE, 東京
  265. 13.56 and 100 MHz Coupled Mode Rf-Sputtering for Ferroelectric Sr2(Ta1-x,Nbx)2O7 (STN) Film Applied to One-Transistor-Type Ferroelectric Random Access Memory, I. Takahashi, T. Shinohara, A. Teramoto, M. Hirayama, S. Sugawa, and T. Ohmi, 1th European Meeting on Ferroelectricity, 2007年09月03日, 通常, 英語, Bled
  266. Microwave-Excited Plasma Enhanced Metal-Organic Chemical Vapor Deposition with Ion-Bombardment-Assistance for Forming Ferroelectric Sr2(Ta1-x,Nbx)2O7 (STN) Thin Film, I. Takahashi, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Electroceramics , 2007年07月, 通常, 英語, Arusha
  267. Random Telegraph Signal Statistical Analysis using a Very Large-scale Array TEG with 1M MOSFETs, K. Abe, S. Sugawa, S. Watabe, N. Miyamoto, A. Teramoto, Y. Kamata, K. Shibusawa, M. Toita, and T. Ohmi, IEEE Symposium on VLSI Technology, 2007年06月12日, 通常, 英語, The Japan Society of Applied Physics IEEE, 京都
  268. プラズマ窒化膜/Siの界面構造、サブナイトライド、価電子帯構造, 寺本章伸 荒谷崇 樋口正顕 池永英司 野平博司 須川成利 大見忠弘 服部健雄, 電子通信情報学会 シリコン材料・デバイス研究会, 2007年06月08日, 通常, 日本語, 電子通信情報学会, 東広島
  269. 大規模アレイTEGによるトンネル絶縁膜の微小・局所的ゲート電流の統計的評価, 熊谷勇喜 寺本章伸 須川成利 諏訪智之 大見忠弘, 電子通信情報学会シリコン材料デバイス研究会, 2007年06月07日, 通常, 日本語, 電子通信情報学会, 東広島
  270. Analysis of Source Follower Random Telegraph Signal Using nMOS and pMOS Array TEG, K. Abe, S. Sugawa, R. Kuroda, S. Watabe, N. Miyamoto, A. Teramoto, T. Ohmi, Y. Kamata, and K. Shibusawa, International Image Sensor Workshop, 2007年06月07日, 通常, 英語, IEEE Electron Devices Society Institute of Television Engineers of Japan (ITEJ) Jet Propulsion Laboratory Siimpel Corporation Walter Kosonocky Award, Ogunquit
  271. Fabrication of Pt/Sr2(Ta1-x,Nbx)2O7/SiO2/Si Field-Effect Transistor for One-Transistor-Type Ferroelectric Random Access Memory, I. Takahashi, K. Azumi, Y. Shirai, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, 6th WSEAS International Conference on MICROELECTRONICS, NANOELECTRONICS, OPTOELECTRONICS, 2007年05月27日, 通常, 英語, World Scientific and Engineering Academy and Society, Istanbul
  272. Hot Carrier Instability Mechanism in Accumulation-Mode Normally-off SOI nMOSFETs and Their Reliability Advantage, R. Kuroda, A. Teramoto, W. Cheng, S. Sugawa and T. Ohmi, 211th Meeting of The Electrochemical Society, 2007年05月06日, 通常, 英語, The Electrochemical Society, Chicago
  273. Impact of Improved Mobilities and Suppressed 1/f Noise in Fully Depleted SOI MOSFETs Fabricated on Si(110) Surface, W. Cheng, A. Teramoto, C. Tye, P. Gaubert, M. Hirayama, S. Sugawa and T. Ohmi, 211th Meeting of The Electrochemical Society, 2007年05月06日, 通常, 英語, The Electrochemical Society, Chicago
  274. NBTI Mechanism Based on Hole-Injection for Accurate Lifetime Prediction, A. Teramoto, R. Kuroda, and T. Ohmi, 211th Meeting of The Electrochemical Society, 2007年05月06日, 招待, 英語, The Electrochemical Society, Chicago
  275. Formation of Ferroelectric Sr2(Ta1-x,Nbx)2O7 Film (STN) on SiON formed by microwave-excited plasma and (Ba1-x,Srx)TiO3(BST) by rf sputtering applied to One-Transistor-Type Ferroelectric Memory Device, I.Takahashi, T. Suwa, K. Azumi, T. Isogai, Y. Shirai, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, The 19th International Symposium of Integrated Ferroelectrics, 2007年05月, 通常, 英語, Bordeaux
  276. 角度分解光電子分光法の新しい試み, 荒谷 崇 樋口正顕 須川成利 池永英司 野平博司 寺本章伸 大見忠弘 服部健雄, 第54回応用物理学関係連合講演会, 2007年03月28日, 通常, 日本語, 応用物理学会, 東京
  277. Siのラジカル窒化により形成したSi3N4/Si界面におけるサブナイトライドと価電子帯オフセット, 荒谷 崇 樋口正顕 須川成利 池永英司 野平博司 丸泉琢也 寺本章伸 大見忠弘 服部健雄, 第54回応用物理学関係連合講演会, 2007年03月28日, 通常, 日本語, 応用物理学会, 東京
  278. UVラマン分光法によるラジカル窒化Si3N4/Si界面の評価, 吉田哲也 山崎浩輔 小瀬村大亮 掛村康人 小椋厚志 荒谷 崇 樋口正顕 須川成利 寺本章伸 大見忠弘 服部健雄, 第54回応用物理学関係連合講演会, 2007年03月28日, 通常, 日本語, 応用物理学会, 東京
  279. High Performance and highly reliable novel CMOS devices using accumulation mode multi-gate and fully depleted SOI MOSFETs, W. Cheng, A. Teramoto, R. Kuroda, M. Hirayama, and T. Ohmi, The 15th Biennial Conference on Insulating Films on Semiconductors, 2007年03月24日, 通常, 英語, Athens
  280. マイクロ波励起プラズマ有機金属化学気相堆積装置の開発と強誘電体Sr_2(Ta_<1-x>,Nb_x)_2O_7膜の形成, 高橋一郎 船岩清 安曇啓太 山下哲 白井泰雪 平山昌樹 寺本章伸 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2007年03月15日, 通常, 日本語, 電子通信情報学会, 東京
  281. Preperation and Electrical Properties of Ultrathin Stacked Si3N4/High-k Dielectric Pr3Si6N11 Films grown in Radical Reaction Based MOCVD Systems, H. Wakamatsu, I. Takahashi, A. Teramoto, and T. Ohmi, The Joint International Conference of 4th International Symposium on System Construction of Global-Network-Oriented Ioformation Electronics and Student-Organizing International Mini-Conference on Ioformation Electronics System, 2007年01月, 通常, 英語, 東北大学, 仙台
  282. SiC基板上高品質ゲート絶縁膜の低温形成, 田中康太郎 寺本章伸 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2006年12月14日, 通常, 日本語, 電子通信情報学会, 京都
  283. Electric and interface characteristics of Si3N4 films formed by directly radical NH on Si (110) and Si (100) surfaces, M. Higuchi, T. Suwa, T. Aratani, T. Hamada, A. Teramoto, T. Hattori, S. Sugawa, T. Ohmi, S. Shinagawa, H. Nohira, and E. Ikenaga, 7th IEEE Semiconductor Interface Specialists Conference, 2006年12月07日, 通常, 英語, IEEE, San Diego
  284. Revolutional Progress of Silicon Technologies Exhibiting Very High Speed Performance Over 50 GHz Clock Rate, T. Ohmi, A. Teramoto, R. Kuroda, and N. Miyamoto, The 6th Taiwan-Japan Microelectronics International Symposium, 2006年11月01日, 招待, 英語, National Chiao Tung University, Hsinchu
  285. Radical Oxidation on Ultra Pure Silicon Surface, K. Kawase, M. Higuchi, T. Suwa, H. Umeda, M. Inoue, A. Teramoto, T. Hattori, S. Sugawa, and T. Ohmi, 210th ECS Meeting, 2006年10月29日, 通常, 英語, The Electrochemical Society, Mexico
  286. Impact of improved mobility and low flicker noise MOS transistors using accumulation mode fully depleted silicon-on-insulator devices, W. Cheng, A. Teramoto, P. Gaubert, M. Hirayama, and T. Ohmi, International Conference on Solid-State and Integrated Circuit Technology, 2006年10月23日, 通常, 英語, IEEE, Shanghai
  287. 2段シャワープレート型マイクロ波プラズマエッチャーを用いた多層膜の連続エッチング, 池永和幸 後藤哲也 寺本章伸 平山昌樹 野沢俊久 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2006年10月05日, 通常, 日本語, 電子通信情報学会, 仙台
  288. 大規模アレイTEGにより評価した低ビットエラーのKr/O2/NOトンネル酸窒化膜の形成, 諏訪智之 熊谷勇喜 寺本章伸 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2006年10月05日, 通常, 日本語, 電子通信情報学会, 仙台
  289. Si(100),(110)面上の極薄Si3N4-Si界面構造とその電気的特性, 荒谷崇 樋口正顕 濱田龍文 寺本章伸 服部健雄 須川成利 大見忠弘 品川誠治 野平博司 池永英司, 電子通信情報学会 シリコン材料・デバイス研究会, 2006年10月05日, 通常, 日本語, 電子通信情報学会, 仙台
  290. 微細MOSトランジスタ特性の統計的ばらつき評価手法に関する研究, 阿部健一 渡部俊一 須川成利 寺本章伸 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2006年10月05日, 通常, 日本語, 電子通信情報学会, 仙台
  291. A New Statistical Evaluation Method for the Variation of MOSFETs, S. Watabe, S. Sugawa, A. Teramoto, and T. Ohmi, International Conference on Solid State Devices and Materials, 2006年09月12日, 通常, 英語, 応用物理学会, 横浜
  292. Technology of Ferroelectric Thin Film Formation with Large Coercive Field for Future Scaling Down of Ferroelectric Gate FET Memory Device, I. Takahashi, T. Isogai, K. Azumi, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2006年09月12日, 通常, 英語, 応用物理学会, 横浜
  293. Formation of Ferroelectric Sr2(Ta1-x,Nbx)2O7 Thin Film on Amorphous SiO2 by Microwave-Excited Plasma Enhanced Metalorganic Chemical Vapor Deposition, I. Takahashi, K. Funaiwa, S. K. Azumi, Yamashita, Y. Shirai, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2006年09月12日, 通常, 英語, 応用物理学会, 横浜
  294. Very Low Bit Error Rate in Flash Memory using Tunnel Dielectrics formed by Kr/O2/NO Plasma Oxynitridation, T. Suwa, H. Takahashi, Y. Kumagai, G. Fujita, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2006年09月12日, 通常, 英語, 応用物理学会, 横浜
  295. Low Leakage Current and Low Resistivity p+n Diodes on Si(110) Fabricated by Ga+/B+ Combination I/I and Low Temperature Annealing, H. Imai, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2006年09月12日, 通常, 英語, 応用物理学会, 横浜
  296. Electric characteristics of Si3N4 films formed by directly radical nitridation on Si (110) and Si (100) surfaces, M. Higuchi, T. Aratani, T. Hamada, A. Teramoto, T. Hattori, S. Sugawa, T. Ohmi, S. Shinagawa, H. Nohira, E. Ikenaga, and K. Kobayashi, International Conference on Solid State Devices and Materials, 2006年09月12日, 通常, 英語, 応用物理学会, 横浜
  297. Formation of Metal-Ferroelectric-Insulator-Si Structure Device with Large Memory Window by Supplying Ion Bombardment Energy in Rf-Sputtering Plasma, I. Takahashi, T. Isogai, K. Azumi, A. Teramoto, S. Sugawa, and T. Ohmi, 15th International Symposium on the Applications of Ferroelectrics, 2006年07月30日, 通常, 英語, IEEE, Sunset Beach
  298. Accurate Extraction of Conduction Parameters in MOSFETs on Si(110) surface, P. Gaubert, A. Teramoto, T. Hamada, T. Suwa, and T. Ohmi, 8th International Conference on the Physics of Semiconductors,, 2006年07月24日, 通常, 英語, IEEE, Shanghai
  299. The Dependence of Remaining Carbon in the Electrical Property of the Gate Insulator Film on SiC at Low Temperature Insulator Formation, K. Tanaka, H. Tanaka, A. Teramoto, S. Sugawa, and T. Ohmi, Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices, 2006年07月, 通常, 英語, 電子通信情報学会 The Institute of Electronics and Information Engineers (IEIE) of Korea, 仙台
  300. The dependence of the intermediate nitridation states density at Si3N4/Si interface on surface Si atoms density, M. Higuchi, S. Shinagawa, A. Teramoto, H. Nohira, T. Hattori, E. Ikenaga, S. Sugawa, and T. Ohmi, Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices, 2006年07月, 通常, 英語, 電子通信情報学会 The Institute of Electronics and Information Engineers (IEIE) of Korea, 仙台
  301. High Quality Gate Insulator Film Formation on SiC using by Microwave-Excited High-Density Plasma, K. Tanaka, H. Tanaka, A. Teramoto, S. Sugawa, and T. Ohmi, 14th Workshop on Dielectrics in Microelectronics, 2006年06月26日, 通常, 英語, CNR Institute for Microelectronics and Microsystems, Catania
  302. Accurate Circuit Performance Prediction Model and Lifetime Prediction Method of NBT Stressed Devices for Highly Reliable ULSI Circuits, R. Kuroda, K. Watanabe, A. Teramoto, M. Mifuji, T. Yamaha, S. Sugawa, and T. Ohmi, IEEE International Conference on Integrated Circuit Design and Technology, 2006年05月24日, 招待, 英語, IEEE, Padova
  303. Accurate circuit performance prediction model and lifetime prediction method of nbt stressed devices for highly reliable ulsi circuits, R. Kuroda, K. Watanabe, A. Teramoto, M. Mifuji, T. Yamaha, S. Sugawa, and T. Ohmi, International Electron Devices Meeting, 2005年12月05日, 通常, 英語, IEEE, Washington D. C.
  304. Statistical evaluation of very low gate leakage current for bit error evaluation in Flash Memory, T. Suwa, S. Sugawa, H. Takahashi, A. Teramoto, and T. Ohmi, he 16th Symposium of The Materials Research Society of Japan, 2005年12月, 通常, 英語, Material Research Society of Japan, 東京
  305. Hole注入法によるNBTI評価手法及び寿命予測方法の開発, 寺本章伸 渡辺一史 黒田理人 三富士道彦 山葉隆久 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2005年11月25日, 通常, 日本語, 電子通信情報学会, 大阪
  306. Suppression of Surface Micro-Roughness of Silicon Wafer by Addition of Alcohol into Ultra Pure Water for Rinsing Process, M. Yamamoto, K. Nii, H. Morinaga, A. Teramoto, and T. Ohmi, The 208th ECS Meeting, 2005年10月16日, 通常, 英語, The Electrochemical Society, Los Angeles
  307. New NBTI Lifetime Prediction Method for Ultra Thin SiO2 Films, K. Watanabe, R. Kuroda, A. Teramoto, S. Sugawa, and T. Ohmi, The 208th ECS Meeting, 2005年10月16日, 通常, 英語, The Electrochemical Society, Los Angeles
  308. The effect of oxygen concentration in cleaning process, N. Mizutani, H. Morinaga, A. Teramoto, and T. Ohmi, The 208th ECS Meeting, 2005年10月16日, 通常, 英語, The Electrochemical Society, Los Angeles
  309. High resolution X-ray photoelectron spectroscopy study on Si3N4/Si interface structures and its correlation with hysteresis in C-V curves, M. Higuchi, A. Teramoto, M. Komura, S. Shinagawa, E. Ikenaga, H. Nohira, K. Kobayashi, T. Hattori, S. Sugawa, and T. Ohmi, The 208th ECS Meeting, 2005年10月16日, 通常, 英語, The Electrochemical Society, Los Angeles
  310. NH*ラジカルを用いて形成した直接窒化シリコン窒化膜の界面構造と界面準位密度, 樋口正顕 小村政則 寺本章伸 品川誠治 池永英司 小林啓介 野平博司 須川成利 服部健雄 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2005年10月06日, 通常, 日本語, 電子通信情報学会, 仙台
  311. LC共振法による極薄ゲート絶縁膜の電気的膜厚測定法, 黒田理人 寺本章伸 小村政則 渡辺一史 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2005年10月06日, 通常, 日本語, 電子通信情報学会, 仙台
  312. 超清浄シリコン表面の形成, 河瀬和雅 梅田浩司 井上真雄 諏訪智之 樋口正顕 寺本章伸 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2005年10月06日, 通常, 日本語, 電子通信情報学会, 仙台
  313. Suppression of the low frequency noise level in (100) and (110) oriented silicon p-MOSFETs induced by an alkali-free cleaning process, P. Gaubert, A. Teramoto, T. Hamada, M. Yamamoto, and T. Ohmi, 電子通信情報学会 シリコン材料・デバイス研究会, 2005年10月06日, 通常, 英語, 電子通信情報学会, 仙台
  314. Impact of interface micro-roughness on low frequency noise in (110) and (100) pMOSFETs, P. Gaubert, A. Teramoto, T. Hamada, M. Yamamoto, K. Nii, H. Akahori, K. Kotani, and T. Ohmi, 8th International Conference on Noise and Fluctuations,, 2005年09月19日, 通常, 英語, IEEE, Salamanca
  315. Study of the Metal-Ferroelectric-Insulator-Si Structure Device Formation by Controlling Properties of High Frequency and Microwave Excited Plasma, I. Takahashi, H. Sakurai, T. Isogai, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2005年09月12日, 通常, 英語, 応用物理学会, 神戸
  316. Damage-Free Microwave-Excited Plasma Contact Hole Etching without Carrier Deactivation at the Interface between Silicide and Heavily-Doped Si, T. Goto, M. Terasaki, H. Asahara, H. Nakazawa, A. Inokuchi, J. Yamanaka, A. Teramoto, M. Hirayama, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2005年09月12日, 通常, 英語, 応用物理学会, 神戸
  317. Impact of The Improved High Performance Si(110) Oriented MOSFETs by Using Accumulation-Mode Fully Depleted SOI Devices, W. Cheng, A. Teramoto, M. Hirayama, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2005年09月12日, 通常, 英語, 応用物理学会, 神戸
  318. Geometry and Bias Dependency of Low-Frequency Random Telegraph Signal and 1/F Noise Levels in MOSFETs, M. Toita, L. K. J. Vandamme, S. Sugawa, A. Teramoto, and T. Ohmi, 20th Annual Meeting of Japanese Association for Science, Art and Technology of Fluctuations, 2005年09月, 通常, 英語, 東京
  319. Reduction of 1/f noise in Si(110) nad (110) surface MOSFET using a new clearning technology, P. Gaubert, A. Teramoto, K. Kotani, and T. Ohmi, 20th Annual Meeting of Japanese Association for Science, Art and Technology of Fluctuations, 2005年09月, 通常, 英語, 東京
  320. Impact of High Performance Accumulation-Mode Fully Depleted SOI MOSFETs, W. Cheng, A. Teramoto, M. Hirayama, S. Sugawa, and T. Ohmi, Asia-Pacific Workshop on fundamentals and Applications of Advanced Semiconductor Devices, 2005年06月, 通常, 英語, The Institute of Electronics, Information and Communication Engineers (IEICE-ES) The Institute of Electronics and Information Engineers (IEIE), Seoul
  321. EOT measurement for ultra-thin gate dielectrics using LC resonance circuit, A. Teramoto, M. Komura, R. Kuroda, K. Watanabe, S. Sugawa, and T. Ohmi, nternational Conference on Microelectronic Test Structures, 2005年04月04日, 通常, 英語, IEEE, Leuven
  322. High Current Drivability MOSFET Fabricated on Si(110) Surface, A. Teramoto and T. Ohmi, MRS Spring Meeting, 2005年03月28日, 招待, 英語, Material Research Society, San Francisco
  323. シリコン表面の化学反応性に関する量子化学的検討, 千葉景子 坪井秀行 古山通久 久保百司 二井啓一 寺本章伸 大見忠弘 宮本明, 電子通信情報学会 シリコン材料・デバイス研究会, 2004年10月15日, 通常, 日本語, 電子通信情報学会, 仙台
  324. シリコン表面の自然酸化抑制, 赤堀浩史 二井啓一 塚本和巳 寺本章伸 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2004年10月15日, 通常, 日本語, 電子通信情報学会, 仙台
  325. ラジカル窒化酸化膜におけるNの深さ分布と結合状態の制御, 河瀬和雅 梅田浩司 井上真雄 諏訪智之 樋口正顕 小村政則 寺本章伸 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2004年10月14日, 通常, 日本語, 電子通信情報学会, 仙台
  326. 原子状酸素を用いた酸化処理による強誘電体STN薄膜の特性改善, 磯貝達典 高橋一郎 桜井弘之 後藤哲也 平山昌樹 寺本章伸 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2004年10月14日, 通常, 日本語, 電子通信情報学会, 仙台
  327. Suppression of Surface Micro-Rouhness on Si(110), K. Nii, M. Yamamoto, A. Teramoto, and T. Ohmi, 206th ECS Meeting, 2004年10月03日, 通常, 英語, The Electrochemical Society, Honolulu
  328. The Thermal Degradation Prevention of Fluorocarbon Material for Interlayer Dielectric Film, A. Hidaka, S. Yamashita, M. Kitano, A. Teramoto, Y. Shirai, and T. Ohmi, 206th ECS Meeting, 2004年10月03日, 通常, 英語, The Electrochemical Society, Honolulu
  329. High Quality Plasma Processing using Microwave Excited Plasma System with Xenon Gas, Y. Shirai, A. Teramoto, M. Hirayama, T. Ohmi, H. Hasegawa, Y. Ishihara, T. Satoh, and M. Yamawaki, International Symposium on Semiconductor Manufacturing, 2004年09月27日, 通常, 英語, IEEE, 東京
  330. A Large-Signal MOSFET Model Based on Transient Carrier Response for RF Circuits, K. Watanabe, K. Kotani, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2004年09月14日, 通常, 英語, 応用物理学会, 東京
  331. MFIS-structure Memory Device with High Quality Ferroelectric Sr2 (Ta1-xNbx)2 O7 Formed by Physical Vapor Deposition and Oxygen Radical Treatment by Oxygen Assisted Layer by Layer(ROALL) deposition, H. Sakurai, I. Takahashi, T. Isogai, K. Funaiwa, T. Tsunoda, T. Goto, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2004年09月14日, 通常, 英語, 応用物理学会, 東京
  332. Control of nitrogen profile in radical nitridation of SiO2 films, K. Kawase, H. Umeda, M. Inoue, S. Tsujikawa, Y. Akamatsu, A. Teramoto, and T. Ohmi, International Conference on Solid State Devices and Materials, 2004年09月14日, 通常, 英語, 応用物理学会, 東京
  333. Accurate Temperature Drift model of MOSFETs Mobility for Analog Circuits, K. Watanabe, T. Hamada, K. Kotani, A. Teramoto, S. Sugawa, and T. Ohmi, Simulation of Semiconductor Processes and Devices, 2004年09月02日, 通常, 英語, IEEE, Munich
  334. ラジカル窒化酸化膜中NのXPS評価, 河瀬和雅 梅田浩司 井上真雄 辻川真平 赤松泰彦 寺本章伸 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2004年06月22日, 通常, 日本語, 電子通信情報学会, 東京
  335. Sub-Micron MOSFETs Technology Characterization by Low-Frequency Noise, M. Toita, S. Sugawa, A. Teramoto, and T. Ohmi, 3rd European Microelectronics and Packaging Symposium, 2004年06月16日, 通常, 英語, International Microelectronics and Packaging Society, Prague
  336. High Performance Low Noise CMOS Fabricated on Flattened (110) oriented Si Substrate, T. Hamada, A. Teramoto, H. Akahori, K. Nii, T. Suwa, M. Hirayama, and T. Ohmi, Asia-Pacific Workshop on Fundamentals and Application of Advanced Semiconductor Devices, 2004年06月, 通常, 英語, The Institute of Electronics, Information and Communication Engineers The Institute of Electronics and Information Engineers, 長崎
  337. Atomic Order Flattening and Hydrogen Termination of Si(110) Surface , H. Akahori K. Nii A. Teramoto T. Ohmi , 205th ECS Meeting, 2004年05月10日, 通常, 英語, The Electrochemical Society, San Antonio
  338. 1/f noise degradation caused by Fowler-Nordheim tunneling stress in MOSFETs, M. Toita, S. Sugawa, A. Teramoto, T. Akaboshi, H. Imai, and T. Ohmi, IEEE International Reliability Physics Symposium, 2004年03月30日, 通常, 英語, IEEE, Dallas
  339. Si(110)面を用いた低雑音バランスドCMOS技術, 寺本章伸 濱田龍文 赤堀浩史 二井啓一 小谷光司 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2004年01月16日, 招待, 日本語, 電子通信情報学会, 東京
  340. Low Noise Balanced-CMOS on Si(110) Surface for Analog/Digital Mixed Signal Circuits, A. Teramoto, T. Hamada, H. Akahori, K. Nii, T. Suwa, K. Kotani, M. Hirayama, S. Sugawa,T. Ohmi, International Electron Device Metting, 2003年12月08日, 通常, IEEE, Washington D.C.
  341. 界面平坦化を行ったシリコン(110)面上トランジスタの電気的特性, 濱田龍文 赤堀浩史 二井啓一 諏訪智之 平山昌樹 寺本章伸 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2003年10月21日, 通常, 日本語, 電子通信情報学会, 仙台
  342. シリコン(110)面の平坦化, 赤堀浩史 二井啓一 寺本章伸 平山昌樹 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2003年10月21日, 通常, 日本語, 電子通信情報学会, 仙台
  343. シリコン表面の水素終端処理プロセスに関する計算化学的検討, 伊賀英樹 千葉景子 内田晶子 佐々木由美子 遠藤梨紗 菅原健太郎 千田朝子 松浦純 磯田直征 篠田克己 横須賀俊之 遠藤明 久保百司 今村詮 二井啓一 寺本章伸 大見忠弘 宮本明, 電子通信情報学会 シリコン材料・デバイス研究会, 2003年10月21日, 通常, 日本語, 電子通信情報学会, 仙台
  344. ラジカル酸化による Poly-Si TFTの高性能化に関する研究, 石井克治 今泉文伸 林朋彦 寺本章伸 平山昌樹 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2003年10月20日, 通常, 日本語, 電子通信情報学会, 仙台
  345. ラジカル窒化による超高信頼性直接窒化シリコンゲート絶縁膜, 小村政則 樋口正顕 程イ涛 大嶋一郎 寺本章伸 平山昌樹 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2003年10月20日, 招待, 日本語, 電子通信情報学会, 仙台
  346. High Quality Silicon Nitride Film Formed by Microwave-Excited Plasma Enhanced Chemical Vapor Deposition with Dual Gas Shower Head, H. Tanaka, C. Zhong, Y. Hayakawa, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2003年09月16日, 通常, 日本語, 応用物理学会, 東京
  347. A Low Dielectric Constant Sr2(Ta1-x,Nbx)2O7 Thin Film Controlling the Crystal Orientation on IrO2 Substrate for One Transistor Type Ferroelectric Memory Device, I. Takahashi, H. Sakurai, A. Yamada, T. Goto, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2003年09月16日, 通常, 英語, 応用物理学会, 東京
  348. Very High Reliability of Ultrathin Silicon Nitride Gate Dielectric Film for Sub-100nm Generation, M. Komura, M. Higuchi, W. Cheng, I. Ohshima, A. Teramoto, M. Hirayama, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2003年09月16日, 通常, 英語, 応用物理学会, 東京
  349. High Performance Poly-Si Device with Thin Gate Oxide Film Grown by Plasma Oxidation Technology, F. Imaizumi, T. Hayashi, K. Ishii, A. Teramoto, M. Hirayama, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2003年09月16日, 通常, 英語, 応用物理学会, 東京
  350. High-Speed Damage-Free Contact Hole Etching using Dual Shower Head Microwave-Excited High-Density Plasma Equipment, T. Goto, H. Yamauchi, T. Kato, A. Teramoto, M. Hirayama, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2003年09月16日, 通常, 英語, 応用物理学会, 東京
  351. Atomic Order Flattening of Hydrogen-Terminated Si(110) substrate For Next Generation ULSI Devices, H. Akahori, K. Nii, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2003年09月16日, 通常, 英語, 応用物理学会, 東京
  352. シリコン表面処理プロセスに関する計算化学的検討, 千葉景子 磯田直征 篠田克己 横須賀俊之 遠藤明 久保百司 二井啓一 寺本章伸 大見忠弘 宮本明, 日本コンピュータ化学会2003春季年会, 2003年05月19日, 通常, 日本語, 日本コンピュータ化学会, 東京
  353. Very High Integrity Thin Film Formations at Very Low Temperatures, T. Ohmi, A. Teramoto, H. Tanaka, and M. Hirayama, MRS Spring Meeting, 2003年04月24日, 招待, 英語, Material Research Society, San Francisco
  354. プラズマ酸化、酸窒化、窒化によるゲート絶縁膜中に含まれる希ガス原子が電気的特性に与える影響, 樋口正顕 諏訪智之 大嶋一郎 程イ涛 寺本章伸 平山昌樹 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2002年10月28日, 通常, 日本語, 電子通信情報学会, 仙台
  355. シリコン(100)面の原子オーダー平坦化におけるl/fノイズ低減効果, 田中康太郎 渡辺一史 石野英明 須川成利 寺本章伸 平山昌樹 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2002年10月28日, 通常, 日本語, 電子通信情報学会, 仙台
  356. Oxygen Radical Annealing Applied to Ferroelectric Thin Films, I. Takahashi, H. Sakurai, A. Yamada, K. Funaiwa, K. Hirai, S. Urabe, T. Goto, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, Fourth International Symposium on Control of Semiconductor Interfaces, 2002年10月, 通常, 英語, Japan Society for the Promotion of Science, Karuizawa
  357. Highly Reliable Silicon Nitride Gate Dielectrics Grown at Low Temperature by Microwave-Excited High-Density Plasma, I. Ohshima, W. Cheng, M. Hirayama, A. Teramoto, H. Shimada, Y. Ono, S. Sugawa, and T. Ohmi, Fourth International Symposium on Control of Semiconductor Interfaces, 2002年10月, 通常, 英語, Japan Society for the Promotion of Science, Karuizawa
  358. A Ferroelectric Sr2(Ta1-x, Nbx)2O7 with a Low Dielectric Constant by Plasma PVD and Oxygen Radical , I. Takahashi, H. Sakurai, A. Yamada, K. Funaiwa, K. Hirai, S. Urabe, T. Goto, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2002年09月17日, 通常, 英語, 応用物理学会, 名古屋
  359. A Technology of Reducing Flicker Noise for ULSI Applications, K. Tanaka, K. Watanabe, H. Ishino, S. Sugawa, A. Teramoto, M. Hirayama, and T. Ohmi, International Conference on Solid State Devices and Materials, 2002年09月17日, 通常, 英語, 応用物理学会, 名古屋
  360. マイクロ波励起高密度プラズマによる低温直接窒化を用いて高品質極薄シリコン窒化ゲート絶縁膜に関する研究, 程イ涛 大嶋一郎 島田浩行 小野泰弘 平山昌樹 寺本章伸 須川成利 大見忠弘, 電子通信情報学会 シリコン材料・デバイス研究会, 2002年06月20日, 通常, 日本語, 電子通信情報学会, 東広島
  361. ゲート酸化膜の電気的特性に対する有機物汚染の影響, 井上真雄 高橋貫治 寺本章伸 堀江靖彦 金岡竜範 大野吉和 原英司 小林淳二, 電子通信情報学会 シリコン材料・デバイス研究会, 2001年10月09日, 通常, 日本語, 電子通信情報学会, 仙台
  362. Saturation Phenomenon of Stress-Induced Gate Leakage Current, S. Ueno, T. Kuroi, A. Teramoto, H. Umeda, T. Eimori, and Y. Inoue, International Conference on Solid State Devices and Materials, 2001年09月26日, 通常, 英語, 応用物理学会, 東京
  363. Oxide thickness dependence of nitridation effects on TDDB characteristics, M. K. Mazumder, A. Teramoto, J. Komori, and Y. Mashiko, International Conference on Microelectronic Test Structures, 2001年03月19日, 通常, 英語, IEEE, 神戸
  364. 80 nm CMOSFET technology using double offset-implanted source/drain extension and low temperature SiN process, H. Sayama, Y. Nishida, H. Oda, J. Tsuchimoto, H. Umeda, A. Teramoto, K. Eikyu, Y. Inoue, and M. Inuishi, International Electron Devices Meeting, 2000年12月10日, 通常, 英語, IEEE, San Francisco
  365. ゲート酸化膜の破壊メカニズム, 寺本章伸 井上真雄 梅田浩司 大野吉和 西本章, 電子通信情報学会 シリコン材料・デバイス研究会, 2000年10月19日, 通常, 日本語, 電子通信情報学会, 仙台
  366. Extraction of the physical oxide thickness using the electrical characteristics of MOS capacitors, K. Eikyu, H. Takashino, M. Kidera, A. Teramoto, H. Umeda, K. Ishikawa, N. Kotani, and M. Inuishi, International Conference on Simulation of Semiconductor Processes and Devices, 2000年09月06日, 通常, 英語, IEEE, Seattle
  367. RTA装置で形成したゲート絶縁膜の電気特性, 梅田浩司 寺本章伸 大野吉和 重富晃, 電子通信情報学会 シリコン材料・デバイス研究会, 1999年09月28日, 通常, 日本語, 電子通信情報学会, 仙台
  368. ゲート酸化膜寿命の電界依存性, 井上真雄 寺本章伸 梅田浩司 大野吉和 重富晃, 電子通信情報学会 シリコン材料・デバイス研究会, 1999年09月28日, 通常, 日本語, 電子通信情報学会, 仙台
  369. Study of oxide breakdown under very low electric field, A. Teramoto, H. Umeda, K. Azamawari, K. Kobayashi, K. Shiga, J. Komori, Y. Ohno, and H. Miyoshi, IEEE International Reliability Physics Symposium, 1999年03月23日, 通常, 英語, IEEE, San Diego
  370. 酸化膜信頼性におけるTDDB初期故障特性評価, 志賀克哉 小守純子 勝又正文 寺本章伸 益子洋治, 電子通信情報学会 シリコン材料・デバイス研究会, 1998年11月20日, 通常, 日本語, 電子通信情報学会
  371. UV-O2酸化を用いた低温ゲート酸化膜形成, 寺本章伸 大野吉和 三好寛和, 電子通信情報学会 シリコン材料・デバイス研究会, 1998年07月28日, 通常, 日本語, 電子通信情報学会, 東京
  372. N2O酸窒化膜における窒素プロファイルと電気特性との相関関係, 田村浩昭 寺本章伸 梅田浩司 寺田久美 河瀬和雅 大野吉和, 応用物理学関係連合講演会, 1998年03月28日, 通常, 日本語, 応用物理学会, 東京
  373. N2O/O2直接酸窒化膜のXPSによる解析, 寺田久美 田村浩昭 寺本章伸 梅田浩司 黒川博志 馬場文明, 応用物理学関係連合講演会, 1998年03月28日, 通常, 日本語, 応用物理学会, 東京
  374. XPSによる窒化Si酸化膜/Si基板界面近傍のN分布評価, 河瀬和雅 谷村純二 寺本章伸 緒方完 小林清輝 黒川博志, 応用物理学関係連合講演会, 1998年03月28日, 通常, 日本語, 応用物理学会, 東京
  375. A new test structure for evaluation of extrinsic oxide breakdown, K. Shiga, J. Komori, M. Katsumata, A. Teramoto, and M. Sekine, International Conference on Microelectronic Test Structures, 1998年03月20日, 通常, 英語, IEEE, 金沢
  376. Degradation of the characteristics of p+ poly MOS capacitors with NO nitrided gate oxide due to post nitrogen annealing, M. K. Mazumder, A. Teramoto, K. Kobayashi, M. Sekine, S. Kawazu, and H. Koyama, IEEE International Integrated Reliability Workshop , 1997年10月13日, 通常, 英語, IEEE, Lake Tahoe
  377. TDS,FT-IRによるSi酸化膜の膜質評価, 寺田久美 梅村園子 寺本章伸 小林清輝 黒川博志 馬場文明, 第58回応用物理学会学術講演会, 1997年10月02日, 通常, 日本語, 応用物理学会, 秋田
  378. Highly Reliable SiO2 films Formed by UV-O2 Oxidation, A. Teramoto, K. Konayashi, Y. Ohmo, and M. Hirayama, International Conference on Solid State Devices and Materials, 1997年09月16日, 通常, 英語, 応用物理学会, 浜松
  379. High Performance 0.2 mm Dual Gate CMOS by Suppression of Transient-Enhanced-Diffusion Using Rapid Thermal Annealing Technolohies, Y. Nishida, H. sayama, S. Shimizu, T. Kuroi, A. Furukawa, A. Teramoto, T. Uchida, Y. Inoue, and T. Nishimura, International Conference on Solid State Devices and Materials, 1997年09月16日, 通常, 英語, 応用物理学会, 浜松
  380. Temperature dependence of TDDB characteristics of thin SiO2 film for flash memory, M. Katsumata, A. Teramoto, K. Kobayashi, M. K. Mazumder, R. Sekine, and H. Koyama, 6th International Symposium on Physical and Failure Analysis of Integrated Circuits, 1997年07月22日, 通常, 英語, IEEE, Singapore
  381. Channel Profile Control Based On Transient-enhanced-diffusion Suppression By RTA For 0.18 mm Single Gate CMOS, A. Furukawa, A. Teramoto, S. Shimizu, Y. Abe, and Y. Tokuda, Symposium on VLSI Technology,, 1997年06月12日, 通常, 英語, IEEE The Japan Society of Applied Physics, 京都
  382. Dopant redistribution during gate oxidation including transient enhanced diffusion in oxidizing ambient, T. Uchida, K. Eikyu, M. Fujinaga, A. Teramoto, and H. Miyoshi, International Electron Devices Meeting, 1996年12月08日, 通常, 英語, IEEE, San Francisco
  383. High reliability of nanometer-range N2O-nitrided oxides due to suppressing hole injection, K. Kobayashi, A. Teramoto, T. Nakamura, H. Watanabe, H. Kurokawa, Y. Matsui, and M. Hirayama, International Electron Devices Meeting, 1996年12月08日, 通常, 英語, IEEE, San Francisco
  384. UV-O2酸化による高信頼ゲート酸化膜の形成, 寺本章伸 鹿間省三 小林清輝 松井安次 平山誠, 半導体・集積回路技術シンポジウム, 1996年12月05日, 通常, 日本語, 電気化学会
  385. Effect of N2O or NO annealing of wet oxide at different times on TDDB characteristics, M. K. Mazumder, A. Teramoto, K. Kobayashi, M. Katsumata, Y. Mashiko, M. Sekine, H. Koyama, and A. Yasuoka, IEEE International Integrated Reliability Workshop, 1996年10月20日, 通常, 英語, IEEE, Lake Tahoe
  386. Sub-quarter-micron dual gate CMOSFETs with ultra-thin gate oxide of 2 nm, T. Kuroi, S. Shimizu, S. Ogino, A. Teramoto, M. Shirahata, Y. Okumura, M. Inuishi, and H. Miyoshi, Symposium on VLSI Technology, 1996年06月13日, 通常, 英語, IEEE The Japan Society of Applied Physics, Honolulu
  387. Excess currents induced by hot-hole injection and F-N stress in thin SiO2 films, A. Teramoto, K. Kobayashi, Y. Matsui, M. Hirayama, and A. Yasuoka, IEEE International Reliability Physics Symposium, 1996年04月30日, 通常, 英語, IEEE, Dallas
  388. シリコン酸化膜へのFowler-Nordheim電子注入により発生するストレス誘起電流, 小林清輝 中村正 寺本章伸 松井安次, 応用物理学関係連合講演会, 1996年03月28日, 通常, 日本語, 応用物理学会, 埼玉
  389. Clarification of Nitridation Effect on Oxinitridation Methods, T. Kuroi, S. Shirahata, Y. Okumura, S. Shimizu, A. Teramoto, M. Anma, M. Inuishi, and T. Hirao, International Conference on Solid State Devices and Materials, 1995年08月21日, 通常, 英語, 応用物理学会, 大阪
  390. Electron traps and excess current induced by hot-hole injection into thin SiO2 films, K. Kobayashi, A. Teramoto, and M. Hirayama, International Reliability Physics Symposium , 1995年04月04日, 通常, 英語, IEEE, Las Vegas
  391. シリコン酸化膜への正孔注入により誘起されたリーク電流の解析, 中村正 寺本章伸 小林清輝 織田隆文 松井安次, 応用物理学関係連合講演会, 1995年03月28日, 通常, 日本語, 応用物理学会, 平塚
  392. 熱酸化膜のTDDB特性における面積及び膜厚依存性, 寺本章伸 小林清輝 平山誠, 電子通信情報学会 シリコン材料・デバイス研究会, 1994年07月25日, 通常, 日本語, 電子通信情報学会
  393. Pre-oxide-Controlled Oxidation for Very Thin Gate Oxide, K. Makihara, A. Teramoto, K. Nakamura, M. Morita, and T. Ohmi, International Conference on Solid State Devices and Materials, 1992年08月26日, 通常, 英語, 応用物理学会, 筑波
  394. High Reliability of Ultraclean Oxide Films, M. Morita, K. Nakamura, A. Teramoto, K. Makihara, and T. Ohmi, 183th Electrochemical Society Meeting, 1992年05月, 通常, 英語, The Electrochemical Society, Honolulu
  395. Effect of Silicon Wafer In Situ Cleaning on the Chemical Structure of Ultrathin Silicon Oxide Film, N. Terada, H. Ogawa, K. Moriki, A. Teramoto, K. Makihara, M. Morita, T. Ohmi, and T. Hattori, International Conference on Solid State Devices and Materials, 1991年08月27日, 通常, 英語, 応用物理学会, 横浜
  396. Effects of Si Wafer Surface Micro-Roughness on Electrical Properties of Very-Thin Gate Oxide Films, M. Morita, A. Teramoto, K. Makihara, T. Ohmi, Y. Nakazato, A. Uchiyama, and T. Abe, 179th Electrochemical Society Meeting, 1991年05月, 通常, 英語, The Electrochemical Society, Washington D.C.
  397. Native Oxide Growth on Silicon Surface in Wet Ambient, M. Morita, T. Ohmi, E. Hasegawa, and A. Teramoto, International Conference of Solid State Device and Materials, 1990年08月22日, 通常, 英語, 応用物理学会, 仙台
  398. Particle Generation from Gate Valves and its Behavior, M. Morita, T. Ohmi, E. Hasegawa, A. Teramoto, and S. Kawajiri, American Association for Aerosol Research, 1990年06月, 通常, 英語, American Association for Aerosol Research, Philadelphia
  399. 高SN比吸光イメージングによる真空チャンバー内ガス濃度分布計測, 髙橋圭吾・シパウバカルバリオダシルバ イヤンギリカルド・沼尾直毅・黒田理人・藤原康行・村田真麻・石井秀和・森本達郎・諏訪智之・寺本章伸・須川成利, 映像情報メディア学会 研究会, 2019年06月18日, 通常, 日本語, 映像情報メディア学会, 東京
  400. 高SN比CMOS吸光イメージセンサによる半導体プロセスチャンバー内ガス濃度分布計測, 高橋圭吾、Yhang Ricardo Sipauba Cavalho da Silva、黒田理人、藤原康行、村田真麻、石井秀和、森本達郎、諏訪智之、寺本章伸、須川成利, 電子通信情報学会シリコン材料・デバイス研究会, 2019年10月24日, 通常, 日本語, 電子通信情報学会IEICE, 仙台
  401. 次世代メモリ材料の高精度統計評価を行う抵抗測定プラットフォーム, 前田健、大村裕弥、黒田理人、寺本章伸、諏訪智之、須川成利, 電子通信情報学会シリコン材料・デバイス研究会, 2019年10月24日, 通常, 日本語, 電子通信情報学会, 仙台
  402. Influence of Silicon Wafer Surface Roughness on Semiconductor Device Characteristics, K. Mori, S. Samata, N. Mitsugi, A. Teramoto, R. Kuroda, T. Suwa, K. Hashimoto, and S. Sugawa, International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY, 2019年11月18日, 通常, 英語, The Japan Society of Applied Physics, Tokyo
  403. Dielectric breakdown of MgO in MRAM, A. Teramoto, J. Tsuchimoto, H. Park, M. Hayashi, K. Tsunekawa, T. Suwa, R. Kuroda, and S. Sugawa, Special MRAM poster session IEDM, 2019年12月11日, 通常, 英語, IEEE, San Francisco
  404. Plasma Resistance of Sintered Yttrium Oxyfluoride (YOF) with Various Y, O, and F Composition Ratios, T. Goto, Y. Shiba, A. Teramoto, Y. Kishi, and S. Sugawa, AVS 66th International Symposium, 2010年02月01日, 通常, 英語, AVS, Columbus,OH, USA
  405. 注入ゲート導入型ノーマリオフFloating Gate GaN HEMTの動作原理と構造, 南雲謙志、 木本大幾, 諏訪智之、 寺本章伸、 白田理一郎、 高谷信一郎、 黒田理人、 須川成利, 電子情報通信学会電子デバイス研究会, 2020年01月31日, 通常, 日本語, 電子通信情報学会, 東京, ノーマリオフを達成する構造として従来のFloating Gate型に新たに電荷注入用の注入ゲートを設けた構造のGaN高電子移動度トランジスタ(HEMT)について報告する.注入ゲートの導入によって従来Floating Gate型の課題であった電荷注入の際のゲート絶縁膜へのダメージを回避できるだけでなく,電荷注入によって閾値ばらつきを抑制できる.本稿では,この新規構造に関する回路モデル化,数値計算による構造設計によって本構造の有用性を示す.
  406. 高精度電流計測アレイテスト回路を用いた MIM 素子の大規模測定, 鈴木 勇人,朴 賢雨,寺本 章伸,黒田 理人,諏訪 智之,須川 成利, 第67回応用物理学会春季学術講演会, 2020年02月28日, 通常, 日本語, 応用物理学会, 東京

受賞

  1. 2014年09月17日, 優秀論文賞, 応用物理学会, Chemical Structure of Interfacial Transition Layer Formed on Si(100) and Its Dependence on Oxidation Temperature, Annealing in Forming Gas, and Difference in Oxidizing Species
  2. 2008年10月28日, 日本真空協会第33回熊谷記念真空科学論文賞, 日本真空協会, X-ray Photoelectron Spectroscopic Study of Nitrogen Depth Profile in Radical Nitrided Silicon Oxynitride Film

取得

  1. 特許6487288, 電界効果トランジスタおよびその駆動方法 
  2. 特許6449290 , 化合物半導体を洗浄する方法、化合物半導体の洗浄用の溶液、化合物半導体デバイスを作製する方法、窒化ガリウム基板を作製する方法、窒化ガリウム基板 
  3. 特許6440246 , 半導体素子の形成方法
  4. 特許6085178, MIS構造トランジスタ,及びMIS構造トランジスタを作製する方法
  5. 特許6093190 , MIS構造トランジスタ、及びMIS構造トランジスタを作製する方法
  6. 特許6033723, 化合物半導体を評価する方法
  7. 特許5947233, 電界効果トランジスタ
  8. 特許 5961076 , 対象物の表面を評価する方法 
  9. 特許5930416, 配線構造体、配線構造体を備えた半導体装置及びその半導体装置の製造方法
  10. 特許5841726, 窒化ガリウム系半導体装置の製造方法
  11. 特許5839804, 半導体装置の製造方法,および半導体装置
  12. 特許5316962, 半導体装置
  13. 特許5769160, コンタクト形成方法,半導体装置の製造方法,および半導体装置
  14. 特許5935227, 半導体装置の製造方法及び半導体装置 
  15. 特許5632900, 炭化珪素基板、半導体装置及び配線基板 
  16. 特許5590362, 半導体装置 
  17. 特許5574395, 複合材料及びその製造方法
  18. 特許5506055, 半導体装置の製造方法
  19. 特許5506036, 半導体トランジスタ 
  20. 特許5704586, Accumulation型MOSFET
  21. 特許5437114, 半導体トランジスタの製造方法
  22. 特許5422854 , 半導体装置の製造方法
  23. 特許5388071, 多層配線基板 
  24. 特許5339327, プラズマ窒化処理方法および半導体装置の製造方法 
  25. 特許5594753, トランジスタ及び半導体装置 
  26. 特許5329024, 半導体装置 
  27. 特許5322148, 半導体装置
  28. 特許5283147, 半導体装置および半導体装置の製造方法
  29. 特許5300017, 強誘電体膜の製造方法と,強誘電体膜を用いた半導体装置
  30. 特許5275316, インバータ装置 
  31. 特許5263947, 表面形状測定装置および測定方法 
  32. 特許5239003, 光電変換素子およびそれの製造方法ならびに製造装置
  33. 特許5260127, 炭化珪素の製造方法
  34. 特許5252613, イオン注入装置およびイオン注入方法
  35. 特許5177542, 複合磁性体、それを用いた回路基板、及びそれを用いた電子部品
  36. 特許5170531, 半導体装置
  37. 特許5435315 , 半導体装置の製造方法 
  38. 特許5152851, 半導体装置の製造方法 
  39. 特許5128064, 半導体装置 
  40. 特許5088813, 複合磁性体、その製造方法、それを用いた回路基板、及びそれを用いた電子機器 
  41. 特許5364765, 半導体装置及び半導体装置の製造方法 
  42. 特許5033168, 炭化珪素製品、その製造方法、及び、炭化珪素製品の洗浄方法 
  43. 特許5305373, 樹脂配管
  44. 特許5026571, 表面洗浄装置 
  45. 特許5299752, 半導体装置
  46. 特許5014566, 半導体装置およびその製造方法
  47. 特許5009527, 半導体装置、半導体装置の製造方法及びプラズマCVD用ガス 
  48. 特許4993188, 樹脂配管 
  49. 特許4989817, 半導体装置およびその製造方法 
  50. 特許4975137, 有機EL素子および表示装置 
  51. 特許4967116, 多層回路基板及び電子機器 
  52. 特許4954437, 半導体装置の製造方法
  53. 特許5219538, 太陽光発電薄膜を基材に直接形成した太陽電池 
  54. 特許4904482, 半導体装置 
  55. 特許4918440, 製造システム、製造方法、管理装置、管理方法、およびプログラム
  56. 特許4866998, 電子装置の測定装置 
  57. 特許4858461, タングステンシリサイド膜の形成方法及び半導体装置の製造方法
  58. 特許4813440, 電子デバイス及び解析方法
  59. 特許4813115, 半導体製造装置用部材及びその洗浄方法 
  60. 特許4776598, 管理方法、管理装置、及びデバイス製造方法
  61. 特許4750773, 基板の処理システム 
  62. 特許4723797, CMOSトランジスタ 
  63. 特許4712292 , 半導体装置及びその製造方法
  64. 特許4694782, 半導体装置、その製造方法、及び、半導体表面の処理方法
  65. 特許4647266, インバータ装置、集積回路チップ及び車両駆動装置 
  66. 特許4651172, 半導体装置の製造方法 
  67. 特許4619637, 半導体装置及びその製造方法 
  68. 特許4603780, 発光素子の製造方法 
  69. 特許4603775, 有機EL発光素子の製造方法、有機EL素子を用いる表示装置の製造方法
  70. 特許4596803, 減圧蒸着装置 
  71. 特許4579637, 半導体記憶装置及びその製造方法 
  72. 特許4537101, 液体材料供給装置、液体材料供給装置のための制御方法 
  73. 特許4536333, 半導体装置及び、その製造方法
  74. 特許4532892, 有機EL素子及び有機EL表示装置 
  75. 特許4505634, 半導体を使用する電子部品の評価方法及び半導体を使用する電子部品の管理方法
  76. 特許4463601, 半導体装置の製造方法、及び半導体製造装置
  77. 特許4454673, 金属ナノインクとその製造方法並びにその金属ナノインクを用いるダイボンディング方法及びダイボンディング装置 
  78. 特許4399227, チャンバの内圧制御装置及び内圧被制御式チャンバ 
  79. 特許4393235, 半導体装置の製造方法、及び半導体製造装置 
  80. 特許4369507, ボンディング装置及びボンディング方法 
  81. 特許4351571, プラズマ処理方法及び電子装置の製造方法 
  82. 特許4351497, 半導体装置の製造方法、及び半導体製造装置 
  83. 特許4190906, シリコン半導体基板及びその製造方法
  84. 特許4147262, 管理方法、及び管理装置 
  85. 特許4095101, 製造システム、製造方法、管理装置、管理方法、およびプログラム
  86. 特許4038228, デバイス識別方法、および、デバイス製造方法
  87. 特許3972076, テスト用回路、ウェハ、測定装置、デバイス製造方法、及び表示装置
  88. 特許3526090, 半導体装置の製造方法
  89. 特許3415690, 半導体装置の製造方法 
  90. 特許3248791, 半導体装置 
  91. US9875899
  92. US10043654
  93. US9543191
  94. US9299844
  95. US9230799
  96. US9157681
  97. US9153658
  98. US8,999,788
  99. US8906796
  100. US8841545
  101. US8716114
  102. US8664909
  103. US8648393
  104. US8643106
  105. US8633395
  106. US8575023
  107. US8497214
  108. US8492879
  109. US8468719
  110. US8405343
  111. US8399862
  112. US8362567
  113. US8314449
  114. US8328928
  115. US8217270
  116. US8198195
  117. US8183670
  118. US8138527
  119. 特許US8134376
  120. US8093918
  121. US8067809
  122. UA8895410
  123. US8030182
  124. US7994063
  125. US7968470
  126. US7975901
  127. US7965097
  128. US7960937
  129. US7928518
  130. US7928018
  131. US7902595
  132. US7898033
  133. US7893537
  134. US7887385
  135. US7863925
  136. US7863713
  137. US7848828
  138. US7820558
  139. US7812595
  140. US7800202
  141. US7774081
  142. US7704893
  143. US7691725
  144. US7663195
  145. US7521324
  146. US7449719
  147. US7411274
  148. US7179746
  149. US6756647
  150. US 6753233
  151. US6720601
  152. US6683004
  153. US6649969
  154. US6638803
  155. US6521509
  156. US6472700
  157. US6221771

外部資金

競争的資金等の採択状況

  1. NEDO先導研究プログラム/エネルギー・環境新技術先導研究プログラム, 超微細半導体用革新的ウェットプロセス・装置技術の開発, 2018年06月00日, 2019年06月00日
  2. 科学研究費補助金(基盤研究(B)), 超高感度・高分解能界面分析によるゲート絶縁膜/シリコン酸化膜の界面構造の決定, 2007年04月00日, 2009年03月00日
  3. 科学研究費補助金(特別推進研究), 超高速・超低消費電力バランスドフルCMOSシステムLSIの研究, 2006年04月00日, 2009年03月00日
  4. 課題設定型産業技術開発費助成事業, ナノ多孔質粒子分散低誘電率基板とダメージフリー実装技術による超高性能半導体実装, 2005年10月00日, 2008年09月00日
  5. NEDO・革新的次世代太陽光発電システム技術研究開発, マイクロ波プラズマCVDによる薄膜シリコン太陽電池製造技術の開発, 2004年10月00日, 2006年03月00日
  6. NEDO・エネルギー使用合理化技術実証研究, 低消費電力バックライトの開発と省エネ製造技術の実証研究, 2004年04月00日, 2006年03月00日
  7. 次世代半導体デバイスプロセス等基板技術プログラム, 3. マイクロ波励起高密度プラズマ技術を用いた省エネ型半導体製造装置の技術開発, 2002年04月00日, 2006年03月00日
  8. 科学研究費補助金(基盤研究(B)(2)), 1. マイクロ波プラズマ高品質ゲート絶縁膜を用いた超高耐圧SiC電力用トランジスタ, 2003年04月00日, 2004年03月00日

社会活動

委員会等委員歴

  1. 幹事, 2021年04月01日, 2023年03月31日, 応用物理学会薄膜・表面物理分科会
  2. プログラム委員, 2020年11月01日, 2021年11月30日, International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology
  3. 幹事, 2019年07月20日, 2020年03月31日, 応用物理学会中国四国支部
  4. 先進パワー半導体分科会第6回講演会実行委員, 2019年07月08日, 2019年12月31日, 応用物理学会 先進パワー半導体分科会
  5. Program Committee, 2018年11月00日, 2019年11月00日, International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES
  6. Program Committee, 2018年11月00日, 2019年11月30日, 2019 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ULSI DEVICES: SCIENCE AND TECHNOLOGY
  7. 実行・プログラム委員, 2016年04月00日, 電子デバイス界面テクノロジー研究会
  8. 実行・プログラム委員, 2004年07月00日, 2015年03月00日, 応用物理学会ゲートスタック研究会
  9. シリコン材料・デバイス研究会専門委員, 2003年04月00日, 2009年03月00日, 電子通信情報学会
  10. プログラム委員, 2001年04月00日, 2001年12月31日, 応用物理学会酸化膜研究会

その他社会貢献活動(広大・部局主催含)

  1. JAIMA中堅若手人財育成ワークショップ, 半導体産業のサプライチェーンを支える上流側産業の重要性と大学の役割, 一般社団法人 日本分析機器工業会, 2024年/03月/26日, 2024年/03月/26日, 島津製作所東京支社, 講師, 講演会, 企業
  2. 第2回産業廃水からの革新膜による有機資源回収ワークショップ, 半導体工場のゼロエミッション化 ー水回収の重要性ー, 神戸大学 先端膜工学研究センター, 2024年/03月/12日, 2024年/03月/12日, オンライン, 講師, シンポジウム・パネルディスカッション・対話型集会・市民会議, 社会人・一般
  3. マルチモーダルセンシング共創コンソーシアム シンポジウム2024, 半導体のサプライチェーンと せとうち半導体共創コンソーシアム, 豊橋技術科学大学, 2024年/03月/02日, 2024年/03月/02日, 穂の国とよはし芸術劇場プラット, 出演, 講演会, 研究者
  4. 第3回ひがしひろしま半導体フォーラム, 半導体産業のサプライチェーンとせとうち 半導体共創コンソーシアムの活動, 東広島市, 2024年/02月/02日, 2024年/02月/02日, 広島大学学士会館, 出演, 講演会, 社会人・一般
  5. 第1回ひがしひろしま半導体フォーラム, せとうち半導体共創コンソーシアム ー先端研究と人材育成-, 東広島市, 2023年/01月/24日, 2023年/01月/24日, 東広島芸術文化ホールくらら 小ホール, 講師, 講演会, 企業
  6. 電子デバイス事業化フォーラム, せとうち半導体共創コンソーシアムの設立に向けて, 備後半導体技術推進連合会, 2022年/09月/03日, 2022年/09月/03日, 福山職業能力開発短期大学校, 出演, セミナー・ワークショップ, その他
  7. 半導体テクノロジーシンポジウム, せとうち半導体共創コンソーシアムの形成, 東北大学(後援:経済産業省、文部科学省、宮城県、岩手県), 2022年/11月/28日, 2022年/11月/28日, 東京, 出演, シンポジウム・パネルディスカッション・対話型集会・市民会議, 社会人・一般