AKINOBU TERAMOTO

Last Updated :2024/07/11

Affiliations, Positions
Research Institute for Nanodevice and Bio Systems, Professor
Web Site
E-mail
teramo10hiroshima-u.ac.jp
Self-introduction
In our laboratory, we study the semiconductor device structure, process technology, and evaluation technology. We are now studying the Normally-off GaN HEMT, selective deposition of thin film, and the reliability evaluation technology of new memory devices.

Basic Information

Major Professional Backgrounds

  • 2021/04/01, Hiroshima University, Research Institute for Nanodevice and Bio Systems, Director
  • 2019/06/01, Hiroshima University, Research Institute for Nanodevice and Bio Systems, Professor
  • 2019/06/01, Tohoku University, New Industry Creation Hatchery Center, Visiting Professor
  • 2014/07/01, 2019/05/31, TOHOKU UNIVERSITY, New Industry Creation Hatchery Center, Professor
  • 2007/04/01, 2014/06/30, TOHOKU UNIVERSITY, New Industry Creation Hatchery Center, Associate Professor
  • 2002/04/01, 2010/01/01, Tohoku University, New Industry Creation Hatchery Center, Associate Professor
  • 1992/04/01, 2002/02/28, MItsubishi Electric Corporation, LSI Laboratory, Engineer

Educational Backgrounds

  • Tohoku University, Graduate School of Engineering, Elcectronic Engineering, Japan, 2000/10/01, 2001/09/12
  • Tohoku University, Graduate School of Engineering, Electronic Enginnering, Japan, 1990/04/01, 1992/03/27
  • TOHOKU UNIVERSITY, Faculty of Engineering, Electronic Engineering, Japan, 1986/04/01, 1990/03/28

Academic Degrees

  • MS (Electronic Engineering), TOHOKU UNIVERSITY
  • Ph D (Engineering), TOHOKU UNIVERSITY

Educational Activity

  • [Bachelor Degree Program] School of Engineering : Cluster 2(Electrical, Electronic and Systems Engineering) : Program of Electronic Devices and Systems
  • [Master's Program] Graduate School of Advanced Science and Engineering : Division of Advanced Science and Engineering : Quantum Matter Program
  • [Doctoral Program] Graduate School of Advanced Science and Engineering : Division of Advanced Science and Engineering : Quantum Matter Program

In Charge of Primary Major Programs

  • Program of Electronic Devices and Systems

Research Fields

  • Engineering;Electrical and electronic engineering;Electron device / Electronic equipment
  • Engineering;Electrical and electronic engineering;Electronic materials / Electric materials

Research Keywords

  • Semiconductor, Reliability, Evaluation, device structure
  • semiconductor
  • process
  • insulator

Affiliated Academic Societies

Educational Activity

Course in Charge

  1. 2024, Undergraduate Education, Year, Graduation Thesis
  2. 2024, Graduate Education (Master's Program) , First Semester, Seminar on Electronics A
  3. 2024, Graduate Education (Master's Program) , Second Semester, Seminar on Electronics B
  4. 2024, Graduate Education (Master's Program) , Academic Year, Academic Presentation in Electronics
  5. 2024, Graduate Education (Master's Program) , 1Term, Exercises in Electronics A
  6. 2024, Graduate Education (Master's Program) , 2Term, Exercises in Electronics A
  7. 2024, Graduate Education (Master's Program) , 3Term, Exercises in Electronics B
  8. 2024, Graduate Education (Master's Program) , 4Term, Exercises in Electronics B
  9. 2024, Graduate Education (Master's Program) , 1Term, LSI Devices and Process Engineering
  10. 2024, Graduate Education (Master's Program) , 1Term, Introduction of the Electronics
  11. 2024, Graduate Education (Master's Program) , 4Term, Introduction Semiconductor Memory Technology
  12. 2024, Graduate Education (Master's Program) , Academic Year, Advanced Study in Quantum Matter
  13. 2024, Graduate Education (Doctoral Program) , Academic Year, Advanced Study in Quantum Matter

Research Activities

Academic Papers

  1. High-Resolution Defect Detection for Flat Panel Display Using Proximity Capacitance Image Sensor, ITE Transactions on Media Technology and Applications, 11(4), 158-163, 20231002
  2. Evaluation of MR ratio and reliability of MTJ device having SiN sidewall by modifying reference layer thickness, JAPANESE JOURNAL OF APPLIED PHYSICS, 62(SC), 20230401
  3. Effect of charge-up of surfaces of sintered Y2O3 and yttrium oxyfluoride on their erosion rates due to ion bombardment, Journal of Vacuum Science & Technology B, 40(6), 062205_1-062205_7, 20221102
  4. Adsorption and surface reaction of isopropyl alcohol on SiO2 surfaces, Journal of Vacuum Science & Technology A, 40(5), 053201_1-053201_8, 20220711
  5. ★, Evaluation of Low-Frequency Noise in MOSFETs Used as a Key Component in Semiconductor Memory Devices, ELECTRONICS, 10(15), 1759_1-1759_24, 20210722
  6. A high-precision current measurement platform applied for statistical measurement of discharge current transient spectroscopy of traps in SiN dielectrics, JAPANESE JOURNAL OF APPLIED PHYSICS, 60(8), 086501_1-086501_10, 20210722
  7. Impact on the Conductance Method of the Asymmetry in the AC Response Induced by Interface Trap Levels, ECS Journal of Solid State Science and Technology, 10(4), 043004, 20210420
  8. Modification of copper and copper oxide surface states due to isopropyl alcohol treatment toward area-selective processes, Journal of Vacuum Science & Technology A, 39(1), 013403_1-10, 20201214
  9. Plasma resistance of sintered and ion-plated yttrium oxyfluorides with various Y, O, and F composition ratios for use in plasma process chamber, Journal of Vacuum Science & Technology A, 38(4), 043003_1-9, 20200611
  10. Influence of silicon wafer surface roughness on semiconductor device characteristics, Japanese Journal of Applied Physics, 59, SMMB06_1-6, 20200526
  11. Resistance Measurement Platform for Statistical Analysis of Emerging Memory Materials, IEEE Transactions on Semiconductor Manufacturing, 33(2), 232-239, 20200505
  12. Study on Influence of O2 Concentration in Wafer Cleaning Ambient for Smoothness of Silicon (110) Surface Appearing at Sidewall of Three-Dimensional Transistors, ECS Transactions, 97(3), 23-29, 20200501
  13. Control of ion-flux and ion-energy in direct inductively coupled plasma reactor for interfacial-mixing plasma-enhanced atomic layer deposition, Journal of Vacuum Science & Technology A, 38(3), 032408_1-032408_11, 20200406
  14. Low-Temperature Deposition of Silicon Nitride Films Using Ultraviolet-Irradiated Ammonia, ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, 8(11), P715-P718, 20191112
  15. Impact of CoFeB surface roughness on reliability of MgO films in CoFeB/MgO/CoFeB magnetic tunnel junction, Japanese Journal of Applied Physics, 58, SIIB29_1-SIIB29_6, 20190717
  16. Statistical Analysis of Threshold Voltage Variation Using MOSFETs With Asymmetric Source and Drain, IEEE Electron Device Letters, 39(12), 1836-1839, 20181126
  17. Fabrication technology and characteristics of MOS device on atomically flat silicon surface, Readout, 51, 44-49, 20181015
  18. Impacts of Random Telegraph Noise with Various Time Constants and Number of States in Temporal Noise of CMOS Image Sensors, ITE Transactions on Media Technology and Applications, 6(3), 171-179, 20180701
  19. Statistical Analyses of Random Telegraph Noise in Pixel Source Follower with Various Gate Shapes in CMOS Image Sensor, ITE Transactions on Media Technology and Applications, 6(3), 163-170, 20180701
  20. Monte Carlo Simulation of Nanowires Array Biosensor With AC Electroosmosis, IEEE Transactions on Electron Devices, 65(5), 1932-1938, 20180402
  21. Hole-Trapping Process at Al2O3/GaN Interface Formed by Atomic Layer Deposition, IEEE Electron Device Letters, 38(9), 1309-1312, 20170823
  22. Stable yttrium oxyfluoride used in plasma process chamber, Journal of Vacuum Science & Technology A, 35(2), 021405_1-021405_6, 20170131
  23. Evaluating Work-Function and Composition of ErSix on Various Surface Orientation of Silicon, ECS Journal of Solid State Science and Technology,, 5(10), P608-P613, 20160921
  24. Detection of short range order in SiO2 thin-films by grazing-incidence wide and small-angle X-ray scattering, Journal of Applied Physics, 119, 154103_1-154103_5, 20160419
  25. Proposal of tunneling- and diffusion-current hybrid MOSFET: A device simulation study, Japanese Journal of Applied Physics, 55, 04ED12-1-04ED12-7, 20160314
  26. Impact of doping concentration on 1/ f noise performances of accumulation-mode Si(100) n-MOSFETs, Japanese Journal of Applied Physics, 55, 04ED08_1-04ED08_6, 20160308
  27. Introduction of Atomically Flattening of Si Surface to Large-Scale Integration Process Employing Shallow Trench Isolation, ECS Journal of Solid State Science and Technology, 5(2), P67-P72, 20151124
  28. Structural Analyses of Thin SiO2 Films Formed by Thermal Oxidation of Atomically Flat Si Surface by Using Synchrotron Radiation X-Ray Characterization, ECS Journal of Solid State Science and Technology, 4(8), N96-N98, 20150616
  29. Atomically flattening of Si surface of silicon on insulator and isolation-patterned wafers, Japanese Journal of Applied Physics, 54, 04DA04_1-04DA04_7, 20150223
  30. Mass densification and defect restoration in chemical vapor deposition silicon dioxide film using Ar plasma excited by microwave, Journal of Vacuum Science & Technology A, 32(5), 051502-1-051502-9, 20140709
  31. Extraction of time constants ratio over nine orders of magnitude for understanding random telegraph noise in metal–oxide–semiconductor field-effect transistors, Japanese Journal of Applied Physics, 53, 04EC19_1-04EC19_7, 20140305
  32. Carrier mobility characteristics of (100), (110), and (551) oriented atomically flattened Si surfaces for fin structure design of multi-gate metal–insulator–silicon field-effect transistors, Japanese Journal of Applied Physics, 53, 04EC04_1-04EC04_7, 20140207
  33. A Statistical Evaluation of Random Telegraph Noise of In-Pixel Source Follower Equivalent Surface and Buried Channel Transistors, IEEE Transactions on Electron Devices, 60(10), 3555-3561, 20130918
  34. A Test Circuit for Extremely Low Gate Leakage Current Measurement of 10 aA for 80 000 MOSFETs in 80 s, IEEE Transactions on Semiconductor Manufacturing, 26(3), 288-295, 20130731
  35. Low-Interface-Trap-Density and High-Breakdown-Electric-Field SiN Films on GaN Formed by Plasma Pretreatment Using Microwave-Excited Plasma-Enhanced Chemical Vapor Deposition, IEEE Transactions on Electron Devices, 60(6), 1916-1922, 20130503
  36. Angle-resolved photoelectron spectroscopy study on interfacial transition layer and oxidation-induced residual stress in Si(100) substrate near the interface, Microelectronic Engineering, 109, 197-199, 20130402
  37. Stress induced leakage current generated by hot-hole injection, Microelectronic Engineering, 109, 298-301, 20130328
  38. ★, High Quality SiO2/Al2O3 Gate Stack for GaN Metal-Oxide-Semiconductor Field-Effect Transistor, Japanese Journal of Applied Physics, 52, 04CF09_1-04CF09_6, 20130321
  39. Chemical Structure of Interfacial Transition Layer Formed on Si(100) and Its Dependence on Oxidation Temperature, Annealing in Forming Gas, and Difference in Oxidizing Species, Japanese Journal of Applied Physics, 52, 031302_1-031302_14, 20130222
  40. Integration Process Development for Improved Compatibility with Organic Non-Porous Ultralow- k Dielectric Fluorocarbon on Advanced Cu Interconnects, Japanese Journal of Applied Physics, 51, 05EC03_1-05EC03_6, 20120512
  41. A Simple Test Structure for Evaluating the Variability in Key Characteristics of a Large Number of MOSFETs, IEEE Transactions on Semiconductor Manufacturing, 25(2), 145-154, 20120504
  42. Cu Single Damascene Integration of an Organic Nonporous Ultralow- k Fluorocarbon Dielectric Deposited by Microwave-Excited Plasma-Enhanced CVD, IEEE Transactions on Electron Devices, 59(5), 1445-1453, 20120425
  43. Recovery Characteristics of Anomalous Stress-Induced Leakage Current of 5.6 nm Oxide Films, Japanese Journal of Applied Physics, 51, 04DC02_1-04DC02_6, 20120420
  44. Hole Mobility in Accumulation Mode Metal-Oxide-Semiconductor Field-Effect Transistors, Japanese Journal of Applied Physics, 51, 04DC07_1-04DC07_6, 20120420
  45. High Integrity SiO2 Gate Insulator Formed by Microwave-Excited Plasma Enhanced Chemical Vapor Deposition for AlGaN/GaN Hybrid Metal-Oxide-Semiconductor Heterojunction Field-Effect Transistor on Si Substrate, Japanese Journal of Applied Physics, 51, 04DF03_1-04DF03_4, 20120420
  46. On the Interface Flattening Effect and Gate Insulator Breakdown Characteristic of Radical Reaction Based Insulator Formation Technology, Japanese Journal of Applied Physics, 51, 02BA01_1-02BA01_6, 20120220
  47. Densification of chemical vapor deposition silicon dioxide film using oxygen radical oxidation, Journal of Applied Physics, 111(3), 034101_1-034101_7, 20120201
  48. A Test Circuit for Statistical Evaluation of p-n Junction Leakage Current and its Noise, IEEE Transactions on Semiconductor Manufacturing, 25(3), 303-309, 20120131
  49. Advanced Direct-Polishing Process Development of Non-Porous Ultralow-k Dielectric Fluorocarbon with Plasma Treatment on Cu Interconnects, Journal of The Electrochemical Society, 159(4), H407-H411, 20120125
  50. Highly Reliable Radical SiO2 Films on Atomically Flat Silicon Surface Formed by Low Temperature Pure Ar Annealing, Japanese Journal of Applied Physics, 50, 10PB05_1-10PB05_7, 20111020
  51. Large-Scale Test Circuits for High-Speed and Highly Accurate Evaluation of Variability and Noise in Metal-Oxide-Semiconductor Field-Effect Transistor Electrical Characteristics, Japanese Journal of Applied Physics, 50, 106701_1-106701_11, 20111020
  52. Tribological Study of Brush Scrubbing in Post-Chemical Mechanical Planarization Cleaning in Non-porous Ultralow-k Dielectric/Cu Interconnects, Journal of The Electrochemical Society, 158(11), H1145-H1151, 20111005
  53. Evaluation for Anomalous Stress-Induced Leakage Current of Gate SiO2 Films Using Array Test Pattern, IEEE Transactions on Electron Devices, 58(10), 3307-3313, 20110921
  54. Formation speed of atomically flat surface on Si (100) in ultra-pure argon, Microelectronic Engineering, 88(10), 3133-3139, 20110629
  55. Visualization of Single Atomic Steps on An Ultra-Flat Si(100) Surface by Advanced Differential Interference Contrast Microscopy, Electrochemical and Solid-State Letters, 14(9), H351-H353, 20110609
  56. Electrical Characteristics of Novel Non-porous Low-k Dielectric Fluorocarbon on Cu Interconnects for 22 nm Generation and Beyond, Japanese Journal of Applied Physics, 50(5), 05EB02_1-05EB02_5, 20110520
  57. Tribological Effects of Brush Scrubbing in Post Chemical Mechanical Planarization Cleaning on Electrical Characteristics in Novel Non-porous Low-k Dielectric Fluorocarbon on Cu Interconnects, Japanese Journal of Applied Physics, 50(5), 05EC07_1-05EC07_6, 20110520
  58. Analysis of the Low-Frequency Noise Reduction in Si(100) Metal-Oxide-Semiconductor Field-Effect Transistors, Japanese Journal of Applied Physics, 50(4), 04DC01_1-04DC01_6, 20110420
  59. Impact of Channel Direction Dependent Low Field Hole Mobility on (100) Orientation Silicon Surface, Japanese Journal of Applied Physics, 50(4), 04DC03_1-04DC03_6, 20110420
  60. High-Rate Deposition of Amorphous Silicon Films by Microwave-Excited High-Density Plasma, Japanese Journal of Applied Physics, 50(3), 036502_1-036502_6, 20110322
  61. Mesoscopic-Scale and Small Strain Field beneath SiO2/Si Interface Revealed by a Multiple-Wave X-ray Diffraction Phenomenon-Depth of the Strain Field, e-Journal of Surface Science and Nanotechnology, 9, 47-50, 20110219
  62. Electrical Properties of Metal-Oxide-Containing SiO2 Films Formed by Organosiloxane Sol–Gel Precursor, Japanese Journal of Applied Physics, 49(11), 111503_1-111503_5, 20101122
  63. Depth Profile of Nitrogen Atoms in Silicon Oxynitride Films Formed by Low-Electron-Temperature Microwave Plasma Nitridation, Japanese Journal of Applied Physics, 49(9), 091301_1-091301_8, 20100921
  64. Relation Between the Mobility,1/f Noise, and Channel Direction in MOSFETs Fabricated on (100) and (110) Silicon-Oriented Wafers, IEEE Transactions on Electron Devices, 57(7), 1597-1607, 20100623
  65. End-Point Detection of Ta/TaN Chemical Mechanical Planarization via Forces Analysis, Japanese Journal of Applied Physics, 49(5), 05FC01_1-05FC01_4, 20100520
  66. ★, Statistical Evaluation of Process Damage Using an Arrayed Test Pattern in a Large Number of MOSFETs, IEEE Transactions on Electron Devices, 57(6), 1310-1318, 20100519
  67. Crystallographic orientation dependence of compositional transition and valence band offset at SiO2/Si interface formed using oxygen radicals, Applied Physics Letters, 96(17), 173103_1-173103_3, 20100427
  68. Light-Emitting Diode Based on ZnO by Plasma-Enhanced Metal-Organic Chemical Vapor Deposition Employing Microwave Excited Plasma, Japanese Journal of Applied Physics, 49(4), 04DG14_1-04DG14_4, 20100420
  69. Experimental Investigation of Effect of Channel Doping Concentration on Random Telegraph Signal Noise, Japanese Journal of Applied Physics, 49(4), 04DC07_1-04DC07_5, 20100420
  70. Analysis of Hundreds of Time Constant Ratios and Amplitudes of Random Telegraph Signal with Very Large Scale Array Test Pattern, Japanese Journal of Applied Physics, 49(4), 04DC06_1-04DC06_4, 20100420
  71. Low Contact Resistivity with Low Silicide/p+-Silicon Schottky Barrier for High-Performance p-Channel Metal-Oxide-Silicon Field Effect Transistors, Japanese Journal of Applied Physics, 49(4), 04DA03_1-04DA03_5, 20100420
  72. Very High Performance CMOS on Si(551) Using Radical Oxidation Technology and Accumulation-Mode SOI Device Structure, Journal of The Electrochemical Society, 157(3), H389-H393, 20100204
  73. Modelling of the hole mobility in p-channel MOS transistors fabricated on (110) oriented silicon wafers, Solid-State Electronics, 54(4), 420-426, 20091209
  74. Characterization of MgZnO films grown by plasma enhanced metal-organic chemical vapor deposition, Thin Solid Films, 518(11), 2953-2956, 20091028
  75. The electric properties of low-magnetic-loss magnetic composites containing Zn-Ni-Fe particles, Journal of Physics: Condensed Matter, 21(43), 436009_1-436009_5, 20091008
  76. Hole Mobility in Si(110) p-MOS Transistors, ECS Transactions, 16(40), 7-12, 20091000
  77. Low-Loss Composite Material Containing Fine Zn-Ni-Fe Flakes for High-Frequency Applications, IEEE Transactions on Magnetics, 45(10), 4337-4340, 20090918
  78. High-Frequency Propagation on Printed Circuit Board Using a Material With a Low Dielectric Constant, a Low Dielectric Loss, and a Flat Surface, EEE Transactions on Components and Packaging Technologies, 32(2), 415-423, 20090722
  79. In situ Observation of Grain Growth on Electroplated Cu Film by Electron Backscatter Diffraction, Japanese Journal of Applied Physics, 48(6), 066507_1-066507_8, 20090622
  80. Three-step Room Temperature Wet Cleaning Process for Silicon Substrate, Solid State Phenomena, 145-146, 381-384, 20090606
  81. Data Analysis Technique of Atomic Force Microscopy for Atomically Flat Silicon Surfaces, IEICE TRANSACTIONS on Electronics, E92-C(5), 664-670, 20090501
  82. Reduction of Scratch on Brush Scrubbing in Post CMP Cleaning by Analyzing Contact Kinetics on Ultra Low-k Dielectric, ECS Transactions, 19(7), 103-109, 20090500
  83. Impact of New Approach to Improve MOSFETs Performance with Ultrathin Gate Insulator, ECS Transactions, 19(4), 65-70, 20090500
  84. UV-Raman Spectroscopy Study on SiO2/Si Interface, ECS Transactions, 19(2), 55-66, 20090500
  85. Effects of Ion-Bombardment-Assist and High Temperature on Growth of Zinc Oxide Films by Microwave Excited High Density Plasma Enhanced Metal Organic Chemical Vapor Deposition, Japanese Journal of Applied Physics, 48(4), 04C135_1-04C135_6, 20090420
  86. Deposition of Microcrystalline Si1-xGex by RF Magnetron Sputtering on SiO2 Substrates, Japanese Journal of Applied Physics, 48(4), 04C124_1-04C124_6, 20090420
  87. Complementary Metal-Oxide-Silicon Field-Effect-Transistors Featuring Atomically Flat Gate Insulator Film/Silicon Interface, Japanese Journal of Applied Physics, 48(4), 04C048_1-04C048_6, 20090420
  88. A Study on Very High Performance Novel Balanced Fully Depleted Silicon-on-Insulator Complementary Metal-Oxide-Semiconductor Field-Effect Transistors on Si(110) Using Accumulation-Mode Device Structure for Radio-Frequency Analog Circuits, Japanese Journal of Applied Physics, 48(4), 04C047_1- 04C047_4, 20090420
  89. Impact of Tungsten Capping Layer on Yttrium Silicide for Low-Resistance n+-Source/Drain Contacts Japanese Journal of, Japanese Journal of Applied Physics, 48(4), 04C046_1-04C046_5, 20090420
  90. Anomalous Random Telegraph Signal Extractions from a Very Large Number of n-Metal Oxide Semiconductor Field-Effect Transistors Using Test Element Groups with 0.47 Hz-3.0 MHz Sampling Frequency, Japanese Journal of Applied Physics, 48(4), 04C044_1-04C044_5, 20090420
  91. Effect of Additives in Organic Acid Solutions for Post-CMP Cleaning on Polymer Low-k Fluorocarbon, Journal of The Electrochemical Society, 156(6), H409-H415, 20090401
  92. Inductively coupled plasma generator for an environmentally benign perfluorocarbon abatement system, Journal of Vacuum Science & Technology A, 27(3), 465-470, 20090330
  93. Experimental demonstration and analysis of high performance and low 1/f noise Tri-gate MOSFETs by optimizing device structure, Microelectronic Engineering, 86(7-9), 1786-1788, 20090316
  94. Stress-induced leakage current and random telegraph signal, Journal of Vacuum Science & Technology B, 27(1), 394-401, 20090209
  95. Different mechanism to explain the 1/ f noise in n- and p-SOI-MOS transistors fabricated on (110) and (100) silicon-oriented wafers, Journal of Vacuum Science & Technology B, 27(1), 394-401, 20090209
  96. Characterization for High-Performance CMOS Using In-Wafer Advanced Kelvin-Contact Device Structure, IEEE Semiconductor Manufacturing, 22(1), 291-298, 20090204
  97. ★, Atomically Flat Silicon Surface and Silicon/Insulator Interface Formation Technologies for (100) Surface Orientation Large-Diameter Wafers Introducing High Performance and Low-Noise Metal-Insulator-Silicon FETs, IEEE Transactions on Electron Devices, 56(2), 291-298, 20090128
  98. Effect of Various Cleaning Solutions and Brush Scrubber Kinematics on the Frictional Attributes of Post Copper CMP Cleaning Process, Solid State Phenomena, 145-146, 363-366, 20090106
  99. Damage-Free Post-CMP Cleaning Solution for Low-k Fluorocarbon on Advanced Interconnects, Solid State Phenomena, 145-146, 189-192, 20090106
  100. Angle-resolved photoelectron study on the structures of silicon nitride films and Si3N4 /Si interfaces formed using nitrogen-hydrogen radicals, Journal of Applied Physics, 104, 114112-1-114112-8, 20081211
  101. High-Efficiency PFC Abatement System Utilizing Plasma Decomposition and Ca(OH)2/Cao Immobilization, IEEE Transactions on Semiconductor Manufacturing, 21, 668-675, 20081105
  102. Three-Step Room-Temperature Cleaning of Bare Silicon Surface for Radical-Reaction-Based Semiconductor Manufacturing, Journal of The Electrochemical Society, 156, H10-H17, 20081030
  103. Accurate negative bias temperature instability lifetime prediction based on hole injection, Microelectronics Reliability, 48, 1649-1654, 20080822
  104. High Permeability and Low Loss Ni–Fe Composite Material for High-Frequency Applications, IEEE Transactions on Magnetics, 44, 2100-2106, 20080822
  105. Nitrogen Profile Study for SiON Gate Dielectrics of Advanced Dynamic Random Access Memory, Japanese Journal of Applied Physics, 47(7), 5380-5834, 20080711
  106. Formation and Property of Yttrium and Yttrium Silicide Films as Low Schottcky Barrier material for n-Type Silicon, Japanese Journal of Applied Physics, 47(4), 3138-3141, 20080425
  107. Characterization of Zinc Oxide Films Grown by a Newly Developed Plasma Enhanced Metal Organic Chemical Vapor Deposition Employing Microwave Excited High Density Plasma, Japanese Journal of Applied Physics, 47(4), 2994-2998, 20080425
  108. Performance Comparison of Ultrathin Fully Depleted Silicon-on-Insulator Inversion-, Intrinsic-, and Accumulation-Mode Metal-Oxide-Semiconductor Field-Effect Transistors, Japanese Journal of Applied Physics, 47(4), 2668-2671, 20080425
  109. Evaluation of New Amorphous Hydrocarbon Film for Copper Barrier Dielectric Film in Low-k Copper Metallization, Japanese Journal of Applied Physics, 47(4), 2531-2534, 20080425
  110. Low-Dielectric-Constant Nonporous Fluorocarbon Films for Interlayer Dielectric, Japanese Journal of Applied Physics, 47(4), 2531-2534, 20080425
  111. Tantalum Nitride Sputtering Deposition with Xe on Fluorocarbon for Cu Interconnects, Journal of The Electrochemical Society, 155(5), H323-H328, 20080319
  112. Evaluation of Si3N4/Si interface by UV Raman spectroscopy, Applied Surface Science, 254, 6229-6231, 20080318
  113. ★, Atomically Flat Silicon Surface and Silicon/Insulator Interface Formation Technologies for (100) Surface Orientation Large-Diameter Wafers Introducing High Performance and Low-Noise Metal–Insulator–Silicon FETs, IEEE Transactions on Electron Devices, 56(2), 291-298, 20080128
  114. Damage-free microwave-excited plasma etching without carrier deactivation of heavily doped Si under thin silicide layer, Journal of Vacuum Science & Technology A, 26(1), 8-16, 20071214
  115. High performance and highly reliable novel CMOS devices using accumulation mode multi-gate and fully depleted SOI MOSFETs, Microelectronic Engineering, 84, 2105-2108, 20070600
  116. ★, Very High Carrier Mobility for High Performance CMOS on Si(110) surface, IEEE Transactions on Electron Devices, 54(6), 1438-1445, 20070529
  117. Revolutional Process of Silicon Technologies Exhibiting Very High Speed Performance Over 50 GHz Clock Rate, IEEE Transactions on Electron Devices, 54(6), 1471-1477, 20070529
  118. NBTI Mechanism Based on Hole-Injection for Accurate Lifetime Prediction, ECS Transactions, 6(3), 229-243, 20070500
  119. Impact of Improved Mobilities and Suppressed 1/f Noise in Fully Depleted SOI MOSFETs Fabricated on Si(110) Surface, ECS Transactions, 6(4), 101-106, 20070500
  120. Hot Carrier Instability Mechanism in Accumulation-Mode Normally-off SOI nMOSFETs and Their Reliability Advantage, ECS Transactions, 6(4), 113-118, 20070500
  121. Development of Microwave-Excited Plasma-Enhanced Metal-rganic Chemical Vapor Deposition System for Forming Ferroelectric Sr2(Ta1-x,Nbx)2O7 Thin Film on Amorphous SiO2, Japanese Journal of Applied Physics, 46(4B), 2200-2204, 20070424
  122. Very Low Bit Error Rate in Flash Memory Using Tunnel Dielectrics Formed by Kr/O2/NO Plasma Oxynitridation, Japanese Journal of Applied Physics, 46(4B), 2148-2152, 20070424
  123. New Statistical Evaluation Method for the Variation of Metal-Oxide-Semiconductor Field-Effect Transistors, Japanese Journal of Applied Physics, 46(4B), 2054-2057, 20070424
  124. Electric Characteristics of Si3N4 Films Formed by Directly Radical Nitridation on Si(110) and Si(100) Surfaces, Japanese Journal of Applied Physics, 46(4B), 1895-1898, 20070424
  125. Low Leakage Current and Low Resistivity p+n Diode on Si(110) Fabricated by Ga+ and B+ Dual Ion Implantation for Low Temperature Source-Drain Activation, Japanese Journal of Applied Physics, 46(4B), 1848-1852, 20070424
  126. Accuracy and Applicability of Low Frequency C-V Measurement Methods for Characterization of Ultra-thin Gate Dielectrics with Large Current, IEEE Transactions on Electron Devices, 54(5), 20070423
  127. Subnitride and valence band offset at Si3N4/Si interface formed using nitrogen-hydrogen radicals, Applied Physics Letters, 90(12), 123114-1-123114-3, 20070319
  128. High quality gate insulator film formation on SiC using by microwave-excited high-density plasma, Microelectronics Reliability, 47(4-5), 786-789, 20070227
  129. X-ray photoelectron spectroscopy study of dielectric constant for Si compounds, Applied Physics Letters, 89(154103), 1-3, 20061011
  130. Fablication of Pt/Sr2(Ta1-x,Nbx)2O7/IrO2/SiO2/Si Device Window and Metal-Ferroelectric-Metal-Insulator-Si Field-Effect Transistor, Japanese Journal of Applied Physics, 45(9B), 7336-7340, 20060922
  131. Fabrication of Pt/Sr2(Ta1-x,Nbx)2O7/IrO2/SiO2/Si device with large memory window and metal-ferroelectric-metal-insulator-Si field-effect transistor, Japanese Journal of Applied Physics, 45(9B), 7336-7340, 20060922
  132. Circuit level prediction of device performance degradation due to negative bias temperature stress, Microelectronics Reliability, 47(6), 930-936, 20060912
  133. Low voltage 3 V operation of ferroelectric multi-layer stack MFIS structure device formed by plasma physical vapor deposition and oxygen radical treatment, Integrated Ferroelectrics, 81(1), 47-55, 20060817
  134. Examination of degradation mechanism due to negative bias temperature stress a perspective of hole energy for accurate lifetime prediction, Microelectronics Reliability, 47(4), 409-418, 20060804
  135. Control of Nitrogen Depth Profile near Silicon Oxynitride/ Si(100) Interface Formed by Radical Nitridation, Japanese Journal of Applied Physics, 45(8A), 20060804
  136. Relationship between Sr2(Ta1-x,Nbx)2O7 Crystal Phase and RF-Sputtering Plasma Condition for Metal-Ferroelectric-Insulator-Si Structure Device Formation, Japanese Journal of Applied Physics, 45(4B), 3207-3212, 20060425
  137. Impact of Improved High-Performance Si(110)-Oriented Metal-Oxide-Semiconductor Field-Effect Transistors Using Accumulation-Mode Fully Depleted Silicon-on-Insulator Devices, Japanese Journal of Applied Physics, 45(4B), 3110-3116, 20060425
  138. 1/f noise suppression of pMOSFETs fabricated on Si(100) and Si(110) using an alkali-free cleaning process, IEEE Transactions on Electron Devices, 53(4), 851-856, 20060410
  139. Lattice Distortion at SiO2/Si(001) Interface Studied with High-Resolution Rutherford Backscattering Spectroscopy/Channeling, Japanese Journal of Applied Physics, 45(4A), 2467-2469, 20060407
  140. Statistical evaluation of very low gate leakage current for bit error evaluation in Flash Memory, Transactions of the Materials Research Society of Japan, 31(1), 141-144, 20060300
  141. Capacitance-voltage measurement method for ultrathin gate dielectrics using LC resonance circuit, IEEE Transactions on Semiconductor Manufacturing, 19(1), 43-49, 20060206
  142. Subject and View of Semiconductor Technologies for sub-100nm Node, The Journal of Institute of Electronics, Information, and Communication Engineering, 89(2), 109-116, 20060201
  143. New era of silicon technologies due to radical reaction based semiconductor manufacturing, Journal of Physics D: Applied Physics, 39(1), R1-R17, 20051215
  144. Hydrogen termination of Si(110) surfaces upon wet cleaning revealed by highly resolved scanning tunneling microscopy, Journal of Applied Physics, 98(10), 103525 1-8, 20051129
  145. Geometry and bias dependence of low-frequency random telegraph signal and 1/f noise levels in mosfets, Fluctuation and Noise Letters, 5(4), L539-L548, 20051024
  146. Control of nitrogen depth profile and chemical bonding state in silicon oxynitride films formed by radical nitridation, Japanese Journal of Applied Physics, 44(10), 7395-7399, 20051011
  147. High resolution X-ray photoelectron spectroscopy study on Si3N4/Si interface structures and its correlation with hysteresis in C-V curves, ECS Transactions, 1(1), 267-276, 20051000
  148. New NBTI Lifetime Prediction Method for Ultra Thin SiO2 Films, ECS Transactions, 1(1), 147-160, 20051000
  149. Adsorption behavior of various fluorocarbon gases on silicon wafer surface, Japanese Journal of Applied Physics, 44(4B), 2245-2251, 20050421
  150. XPS Study of H-Terminated Silicon Surface under Inert Gas and UHV Annealing, Journal of the Electrochemical Society, 152(2), G163-G167, 20050114
  151. A low-dielectric-constant Sr2(Ta1-x,Nbx)(2)O7 thin film controlling the crystal orientation on an IrO2 substrate for one-transistor-type ferroelectric memory device, Japanese Journal of Applied Physics, 43(4B), 2194-2198, 20040427
  152. High-speed damage-free contact hole etching using dual shower head microwave-excited high-density-plasma equipment, Japanese Journal of Applied Physics, 43(4B), 1784-1787, 20040427
  153. MFIS structure device with a low dielectric constant ferroelectric Sr2(Ta1-x,Nbx)2O7 formed by plasma physical vapor deposition and oxygen radical treatment, Integrated Ferroelectrics, 65(1), 29-38, 20040101
  154. Reliability of silicon nitride gate dielectrics grown at 400 degrees C formed by microwave-excited high-density plasma, Applied Surface Science, 216(1-4), 246-251, 20030630
  155. Oxygen radical treatment applied to ferroelectric thin films, Applied Surface Science, 216(1-4), 239-245, 20030630
  156. Ferroelectric Sr2(Ta1-x, Nbx)(2)O7 with a low dielectric constant by plasma physical vapor deposition and oxygen radical treatment, Japanese Journal of Applied Physics, 42(4B), 2050-2054, 20030401
  157. High-quality silicon oxide film formed by diffusion region plasma enhanced chemical vapor deposition and oxygen radical treatment using microwave-excited high-density plasma, Japanese Journal of Applied Physics, 42(4B), 1911-1915, 20030401
  158. A technology for reducing flicker noise for ULSI applications, Japanese Journal of Applied Physics, 42(4B), 2106-2109, 20030401
  159. Saturation phenomenon of stress-induced gate leakage current, Japanese Journal of Applied Physics, 41(4B), 2335-2338, 20020400
  160. Excess Currents Induced by Hot Hole Injection and FN Stress in Thin SiO2 Films, IEEE Transactions on Electron Devices, 48(5), 868-873, 20010500
  161. ★, Time-dependent dielectric breakdown of SiO2 films in a wide electric field range, Microelectronics Reliability, 41, 47-52, 20001222
  162. Simulation of dopant redistribution during gate oxidation including transient-enhanced diffusion caused by implantation damage, Japanese Journal of Applied Physics, 39(5A), 2565-2576, 20000500
  163. Precise Control of Nitrogen Profiles and Nitrogen Bond States for Highly Reliable N2O-Grown Oxynitride, Journal of the Electrochemical Society, 147(5), 1888-1892, 20000500
  164. Angle resolved X-ray photoelectron spectroscopic study of ultrathin oxynitrides, Materials Science in Semiconductor Processing, 2(3), 225-231, 19991000
  165. Effects of N distribution on charge trapping and TDDB characteristics of N2O annealed wet oxide, IEEE Transactions on Electron Devices, 46(6), 1121-1126, 19990600
  166. Origin of positive charge generated in thin SiO2 films during high-field electrical stress, IEEE Transactions on Electron Devices, 46(5), 947-953, 19990500
  167. Characterization of extrinsic oxide breakdown on thin dielectric oxide, Ieice Transactions on Electronics, E82C(4), 589-592, 19990400
  168. Improved reliability of NO treated NH3-nitrided oxide with regard to O2 annealing, SOLID-STATE ELECTRONICS, 42(6), 921-924, 19980600
  169. High performance 0.2 um dual gate complementary MOS technologies by suppression of transient-enhanced-diffusion using rapid thermal annealing, Japanese Journal of Applied Physics, 37(3B), 1054-1058, 19980300
  170. Highly Reliable SiO2 Films Formed by UV-O2 Oxidation, JJAP, 37(3B), 1122-1124, 19980300
  171. Oxide thickness dependence of nitridation effects on TDDB characteristics, Microelectronics Reliability, 37(10-11), 1521-1524, 19971100
  172. Dielectric Brekdown caused by hole-induced-defect in thin SiO2 films, Applied Surface Science, 117/118, 245-248, 19970602
  173. Electron traps and excess current induced by hot-hole injection into thin SiO2 films, Journal of the Electrochemical Society, 143(10), 3377-3383, 19961000
  174. Clarification of nitridation effect on oxide formation methods, Japanese Journal of Applied Physics, 35(2B), 1454-1459, 19960200
  175. Model for the Substrate Hole Current Based on Thermionic Hole Emission from the Anode during Fowlar-Nordheim Electron-Tunneling in N-Channel Metal-Oxide-Semiconductor Field-Effect Transistors, Journal of Applied Physics, 77(7), 3277-3282, 19950401
  176. Charge-Transport in Ultrathin Silicon Nitrides, Journal of the Electrochemical Society, 142(3), 990-996, 19950300
  177. Preoxide-Controlled Oxidation for Very Thin Oxide-Films, The Japan Society of Applied Physics, 32(1B), 294-297, 19930100
  178. Very Thin Oxide Film on a Silicon Surface by Ultraclean Oxidation, Applied Physics Letters, 60(17), 2126-2128, 19920220
  179. Effect of Silicon Wafer In Situ Cleaning on the Chemical Structure of Ultrathin Silicon Oxide Film, Japanese Journal of Applied Physics, 30(12B), 3584-3586, 19911116
  180. Effects of Si Wafer Surface Micro Roughness on Electrical Properties of Very Thin Gate Oxide Films, ULSI Science and Technology, PV91(11), 400-408, 199111
  181. Native Oxide-Growth on Silicon Surface in Ultrapure Water and Hydrogen Peroxide, Japanese Journal of Applied Physics, 29(12), L2392-L2394, 19901020
  182. SiNx Deposition at Low Temperature Using UV-Irradiated NH3, ECS Transactions, 89(4), 31-36, 201904
  183. Effect of drain current on appearance probability and amplitude of random telegraph noise in low-noise CMOS image sensors, Japanese Journal of Applied Physics, 57, 04FF08_1-04FF08_6, 201804
  184. Experimental investigation of localized stress-induced leakage current distribution in gate dielectrics using array test circuit, Japanese Journal of Applied Physics, 57, 04FE11_1-04FE11_5, 201804
  185. Formation technology of flat surface with epitaxial growth on ion-implanted (100)-oriented Si surface of thin silicon-on-insulator, Japanese Journal of Applied Physics, 56, 105503_1 -105503_8, 201710
  186. Performances of accumulation-mode n- and p-MOSFETs on Si(110) wafers, Japanese Journal of Applied Physics, 56, 04CD15_1-04CD15_7, 201704
  187. Oxidizing Species Dependence of the Interface Reaction during Atomic-Layer-Deposition Process and Post-Deposition-Anneal, ECS Transactions, 75(5), 207-214, 201608
  188. Effects of Oxygen Microbubbles on Photoresist Layers under Hot Water Conditions, Journal of Photopolymer Science and Technology, 29(4), 643-646, 201606
  189. Low Leakage Current Al2O3 Metal-Insulator-Metal Capacitors Formed By Atomic Layer Deposition at Optimized Process Temperature and O2 Post Deposition Annealing, ECS Transactions, 72(4), 91-100, 201605
  190. Introduction of a High Selectivity Etching Process with Advanced SiNx Etch Gas in the Fabrication of FinFET Structures, ECS Transactions, 72(4), 23-30, 201605
  191. Effect of Oxygen Impurity on Nitrogen Radicals in Post-Discharge Flows, ECS Transactions, 69(39), 1-9, 201512
  192. Effect of Process Temperature of Al2O3 Atomic Layer Deposition Using Accurate Process Gasses Supply System, ECS Transactions, 66(4), 305-314, 201503
  193. Low Temperature Atomically Flattening of Si Surface of Shallow Trench Isolation Pattern, ECS Transactions, 66(7), 11-21, 201503
  194. Ultra-Low Temperature Flattening Technique of Silicon Surface Using Xe/H2 Plasma, ECS Transactions, 66(5), 277-283, 201503
  195. Effect of Hydrogen on Silicon Nitrides Formation by Microwave Excited Plasma Enhanced Chemical Vapor Deposition, ECS Transactions, 66(4), 151-159, 201503
  196. Crystallinity Improvement of Ferroelectric BiFeO3 Thin Film by Oxygen Radical Treatment, ECS Transactions, 66(5), 261-267, 201503
  197. Surface Metal Cleaning of GaN Surface Based on Redox Potential of Cleaning Solution, ECS Transactions, 66(7), 11-21, 201503
  198. Flattening Technique of (551) Silicon Surface Using Xe/H2 Plasma, ECS Transactions, 61(2), 401-407, 201403
  199. Effect of Composition Ratio on Erbium Silicide Work Function on Different Morphology of Si(100) Surface Changed by Alkaline Etching, ECS Transactions, 61(3), 47-53, 201403
  200. High Selectivity in Dry Etching of Silicon Nitride over Si Using a Novel Hydrofluorocarbon Etch Gas in a Microwave Excited Plasma for FinFET, ECS Transactions, 61(3), 29-37, 201403
  201. High Performance Normally-off GaN MOSFETs on Si Substrates, ECS Transactions, 58(4), 155-166, 201308
  202. Schottky Barrier Height between Erbium Silicide and Various Morphology of Si(100) Surface Changed by Alkaline Etching, ECS Transactions, 58(7), 349-354, 201308
  203. Effect of Composition Rate on Erbium Silicide Work Function on Different Silicon Surface Orientation, ECS Transactions, 53(1), 343-350, 201305
  204. Low Work Function between Erbium Silicide and n-type Silicon Controlled by Cap Film Stress, ECS Transactions, 45(3), 371-378, 201204
  205. Electrical Properties of Silicon Nitride Using High Density and Low Plasma Damage PECVD Formed at 400 oC, ECS Transactions, 45(3), 421-428, 201204
  206. Influence of Forming Gas Annealing on SiO2/Si(100) Interface Structures Formed Utilizing Oxygen Molecules Different from that Utilizing Oxygen Radicals, ECS Transactions, 45(3), 453-460, 201204
  207. 1/f CHANNEL NOISE AT HIGH DRAIN CURRENT IN MOS TRANSISTORS, Fluctuation and Noise Letters, 10(4), 431-445, 201112
  208. High Power Normally-Off GaN MOSFET, ECS Transactions, 41(8), 87-100, 201110
  209. Gate SiO2 Film Integrity on Ultra-Pure Argon Anneal (100) Silicon Surface, ECS Transactions, 41(7), 147-156, 201110
  210. Different Properties of Erbium Silicides on Si(100) and Si(551) Orientation Surfaces, ECS Transactions, 41(7), 365-373, 201110
  211. Clear Difference between the Chemical Structure of SiO2/Si Interfaces Formed Using Oxygen Radicals versus Oxygen Molecules, ECS Transactions, 35(4), 115-122, 201105
  212. Pr3Si6N11/Si3N4 Stacked High-k Gate Dielectrics with High Quality Ultrathin Si3N4 Interfacial Layers, ECS Transactions, 35(2), 275-284, 201105
  213. Advanced Direct-Polish Process on Organic Non-Porous Ultra Low-k Fluorocarbon Dielectric on Cu Interconnects, ECS Transactions, 34(1), 653-658, 201103
  214. Evaluation of Narrow Gap Filling Ability in Shallow Trench Isolation by Organosiloxane Sol-Gel Precursor, ECS Transactions, 33(3), 135-143, 201010
  215. Impact of Work Function Optimized S/D Silicide Contact for High Current Drivability CMOS, ECS Transactions, 28(1), 315-324, 201004
  216. Atomically Flattening Technology at 850˚C for Si(100) Surface, ECS Transactions, 28(1), 299-309, 201004
  217. Quantitative Analysis of the Strain Field beneath the Si3N4/Si(001) Interface Formed by the Xe/NH3 Plasma Nitridation using a Multiple-Wave X-ray Diffraction Phenomenon, Transactions of the Materials Research Society of Japan, 34(4), 597-600, 200912
  218. Very High Performance CMOS on Si(551) Surface using Radical Oxidation Silicon Flattening Technology and Accumulation-mode SOI Device Structure, ECS Transactions, 25(7), 115-129, 200910
  219. Different Types of Degradation and Recovery Mechanisms on NBT Stress for Thin SiO2 Films by On-the-Fly Measurement, ECS Transactions, 19(2), 339-350, 200905
  220. Effect of Polisher Kinematics in Reducing Average and Variance of Shear Force and Increasing Removal Rate in Copper CMP, ECS Transactions, 18(1), 465-471, 200903
  221. Microcrystalline Si1-xGex Deposited by Magnetron Sputtering, ECS Transactions, 16(9), 183-192, 200810
  222. X-ray Photoelectron Spectroscopic Study of Nitrogen Depth Profile in Radical Nitrided Silicon Oxynitride Film, Journal of the Vacuum Society of Japan, 50(11), 672-677, 200711
  223. Formation of High Quality Silicon Nitride Films Using Microwave Excitation Plasma, Journal of the Vacuum Society of Japan, 50(11), 672-677, 200711
  224. Performance Boost Using a New Device Structure Design for SOI MOSFETs Beyond 25nm Node, ECS Transactions, 11(6), 349-354, 200710
  225. Dependence of Electron Channel Mobility on Si-SiO2 Interface Microroughness, IEEE Electron Device Letters, 12(12), 652-654, 199112

Publications such as books

  1. 2017/07/07, Different Types of Field-Effect Transistors - Theory and Applications, Carrier Mobility in Field-Effect Transistors, InTech, 2017, July, Scholarly Book, Joint work, en, P. Gaubert and A. Teramoto, Chapter 1
  2. 2016/10/05, Advances in Noise Analysis, Mitigation and Control, New Processes and Technologies to Reduce the Low‐Frequency Noise of Digital and Analog Circuits, InTech, 2016, October, Scholarly Book, en, P. Gaubert and A. Teramoto, Chapter 1
  3. 2007/07, Advanced Gate Stacks for High-Mobility Semiconductors, New Processes and Technologies to Reduce the Low‐Frequency Noise of Digital and Analog Circuits, New Processes and Technologies to Reduce the Low‐Frequency Noise of Digital and Analog Circuits, Springer, 2007, July, Scholarly Book, Joint work, en, A. Teramoto and T. Ohmi, 10-3-540-71490-1, 21-24頁
  4. 2006, Scientific Wet Process Technology for Innovative LSI/FPD Manufacturing, Taylor & Francis, 2006, Scholarly Book, Joint work, en, H. Morita, A. Teramoto, H. Morinaga, S. Ojima, K. Mitsumori, T. Yabune, M. Miyashita, H. Kikuyama, J. takano, 61-250

Invited Lecture, Oral Presentation, Poster Presentation

  1. Statistical Evaluation of 1/f Noise in MOSFETs, Akinobu Teramoto, Tomomi Ishikawa, and Tatsuki Ueta, 2024 Asia-Pacific Workshop on Advanced Semiconductor Devices, 2024/07/07, With Invitation, English, The Institute of Electronics and Information Engineers (IEIE), Korea The Institute of Electronics, Information and Communication Engineers (IEICE-ES), Japan, Gangneung, Korea
  2. Sulfurization-free synthesis of MoS2 films using sol-gel chemical conversion technique towards biosensor applications, Md Iftekharul Alam, Rikiya Sumichika, Akinobu Teramoto, The 8th International Symposium on Biomedical Engineering, 2023/11/21, With Invitation, English, Research Center for Biomedical Engineering, Higashi-Hiroshima
  3. Organic Molecule-Induced Photosensor Enhancement in MoS2 Field Effect Transitor, Alam Md Iftekharul, Tsuyoshi Takaoka, Atsushi Ando, Tadahiro Komeda, Akinobu Teramoto, 2023 International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES, 2023/10/23, Without Invitation, English, The Japan Society of Applied Physics Thin Film and Surface Physics Division, Kanazawa
  4. Floating Gate enable to control the threshold voltage in GaN HEMT, Hayato Kosaka, Kuniharu Kobashi, Riichiro Shirota, Shinichiro Takatani, Tomoyuki Suwa, Yoshiteru Amemiya, Akinobu Teramoto, The 8th International Symposium on Biomedical Engineering, 2023/11/21, Without Invitation, English, Research Center for Biomedical Engineering, Higashi-Hiroshima
  5. Switching Characteristics of Magnetic Tunnel Junction with Intermediate State, Yuya Miyazaki, Chihiro Watanabe, Junichi Tsuchimoto, Hiroyuki Hosoya, Yoshiteru Amemiya, Akinobu Teramoto, The 8th International Symposium on Biomedical Engineering, 2023/11/21, Without Invitation, English, Research Center for Biomedical Engineering, Higashi-Hiroshima
  6. Thermal Assisted Atomic Layer Deposition Ruthenium by Ru Precursor and O2 as a reactant, Gagi Tauhidur Rahman, Kazuma Uesugi, Yoshiteru Amemiya, Akinobu Teramoto, The 8th International Symposium on Biomedical Engineering, 2023/11/21, Without Invitation, English, Research Center for Biomedical Engineering, Higashi-Hiroshima
  7. Study of MoS2 thein film synthesized by Sol-gel chemical conversion method, Rikiya Sumichika, Md Iftekharul Alam, Akinobu Teramoto, The 8th International Symposium on Biomedical Engineering, 2023/11/21, Without Invitation, English, Research Center for Biomedical Engineering, Higashi-Hiroshima
  8. Isolation Method of Magnetic Tunnel Junction Using SiN-Sidewall and Characteristics, Chihiro Watanabe, Junichi Tsuchimoto, Hiroyuki Hosoya, Kazuo Yamanaka, Yuya Miyazaki, Yoshiteru Amemiya, Akinobu Teramoto, The 8th International Symposium on Biomedical Engineering, 2023/11/21, Without Invitation, English, Research Center for Biomedical Engineering, Higashi-Hiroshima
  9. Applications of Proximity Capacitance Image Sensor, Toshiro Yasuda and Akinobu Teramoto, The 8th International Symposium on Biomedical Engineering, 2023/11/21, Without Invitation, English, Research Center for Biomedical Engineering, Higashi-Hiroshima
  10. Switching Characteristics of MgO Based MTJ with Intermediate State, Y. Miyazaki, C. Watanabe, J. Tsuchimoto, H. Hosoya, Y. Amemiya, A. Teramoto, International Conference on Solid State Devices and Materials, 2023/09/05, Without Invitation, English, The Japan Society of Applied Physics, Nagoya
  11. Statistical Measurement of Electrical Characteristics of Functional Thin Films Using Impedance Measurement Platform Technology, Koga Saito, Tatsuhiko Suzuki, Hidemi Mitsuda, Takezo Mawaki, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Rihito Kuroda, The 84th JSAP Autumn Meeting, 2023/09/23, Without Invitation, Japanese, The Japan Society of Applied Physics, Kumamoto
  12. Thermal Assisted Atomic Layer Deposition of Ruthenium by Ru Precursor and O2 as a reactant, Gagi Tauhidur Rahman, Kazuma Uesugi, Yoshiteru Amemiya, and Akinobu Teramoto, AVS 23rd International Conference on Atomic Layer Deposition, 2023/07/23, Without Invitation, English, AVS, Bellevue, Washington
  13. Development of Piezo Controlled Vapor Delivery System for Ru ALD Application, Hiroshi Nishizato, Krunal Girase, Takumi Moriyama, Kazuma Uesugi, Gagi Tauhidur Rahman, Patrick Lowery P.E., Paul Totten, Troy Freeman, Yoshiteru Amemiya, Akinobu Teramoto, AVS 23rd International Conference on Atomic Layer Deposition, 2023/07/23, Without Invitation, English, AVS, Bellevue, Washington
  14. Statistical chracteristics of RTN and 1/f noise in MOSFETs, AKINOBU TERAMOTO, 2022 Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices (AWAD), 2022/07/08, With Invitation, English, The Institute of Electronics and Information Engineers (IEIE), Korea BK21 Four Education and Research Program for Future ICT Pioneers, Seoul National University, Korea The Institute of Electronics, Information and Communication Engineers (IEICE-ES), Japan, Online
  15. GaN High Electron Mobility Transistor with Floating Gate for Accurate Threshold Voltage Control, H. Kosaka, H. Iwata, Y. Watariguchi, R. Shirota, Y. Amemiya, S. Takatani, T. Suwa , and A. Teramoto, 243rd ECS Meeting, 2023/05/31, Without Invitation, English, The Electrochemical Society, Boston
  16. Endurance characteristics of MgO based 120 nm size MTJ for various write/erase switching pulse width, C. Watanabe, J. Tsuchimoto, H. Hosoya, Y. Amemiya, Y. Miyazaki, A. Teramoto, IEDM SpecialMRAMpostersession, 2022/12/06, Without Invitation, English, IEEE, San Francisco
  17. Effect of SiN Sidewall and Reference-Layer-Thickness Dependence of MR Ratio for High Performance STT-MRAM, Y. Amemiya, J. Tsuchimoto H. Hosoya, H. Nakanishi, C. Watanabe, and A. Teramoto, International Conference on Solid State Devices and Materials, 2022/10/26, Without Invitation, English, The Japan Society of Applied Physics, Makuhari
  18. Reduction of Contact Resistance in GaN/Metal, Yudai Watariguchi Yoshiteru Amemiya, Hiroki Iwata, Tomoyuki Suwa, and Akinobu Teramoto, International Workshop on Nanodevice Technologies, 2022/03/11, Without Invitation, English, RNBS, Hiroshima University, Higashi-Hiroshima
  19. Research on Self-Aligned Lithography for Miniaturization of Integrated Circuits, Kyosuke Yoshioka, Yoshiteru Amemiya, Kazuma Uesugi, and Akinobu Teramoto, International Workshop on Nanodevice Technologies, 2022/03/11, Without Invitation, English, RNBS, Hiroshima University, Higashi-Hiroshima
  20. Statistical Evaluation of 1/f Noise in MOSFETs, Tatsuki Ueta and Akinobu Teramoto, International Workshop on Nanodevice Technologies, 2022/03/18, Without Invitation, English, Research Institute for Nanodevice and Bio Systems (RNBS), Hiroshima University, Higashi-Hiroshima
  21. ALD Research to Realize Area Selective Proces, Kazuma Uesugi, Yoshiteru Amemiya, and Akinobu Teramoto, International Workshop on Nanodevice Technologies, 2022/03/11, Without Invitation, English, Research Institute for Nanodevice and Bio Systems (RNBS), Hiroshima University, Higashi-Hiroshima
  22. New Isolation Method for MTJ Fabrication Using SiN-Sidewall, Hiroki Nakanishi, Junichi Tsuchimoto, Hiroyuki Hosoya, Yoshiteru Amemiya, Chihiro Watanabe, and Akinobu Teramoto, International Workshop on Nanodevice Technologies, 2022/03/11, Without Invitation, English, RNBS, Hiroshima University, Higashi-Hiroshima
  23. Floating Gate GaN HEMT for Normally-Off Operation, Hiroki Iwata, Yudai Watariguchi, Hayato Kosaka, Tomoyuki Suwa, Yoshiteru Amemiya and Akinobu Teramoto, International Workshop on Nanodevice Technologies, 2022/03/11, Without Invitation, English, RNBS, Hiroshima University, Higashi-Hiroshima
  24. New Isolation Method for MTJ Fabrication Using SiN-Sidewall, H. Nakanishi, J. Tsuchimoto, H. Hosoya, Y. Amemiya, C. Watanabe, and A. Teramoto, Special MRAM poster session IEDM, 2021/12/15, Without Invitation, English, IEEE Magnetics Society, San Francisco
  25. High Resolution Array Tester for Flat Panel Display Using Proximity Capacitance Image Sensor, T. Yasuda, K. Kobayashi, Y. Yamamoto, H. Hamori, A. Teramoto, R. Kuroda, and S. Sugawa, The 28th International Display Workshops, 2021/12/03, Without Invitation, English, The Institute of Image Information and Television Engineers The Society for Information Display, Virtual Conference
  26. Study on Influence of O2 Concentration in Wafer Cleaning Ambient for Smoothness of Silicon (110) Surface Appearing at Sidewall of Three-Dimensional Transistors, T. Suwa, A. Teramoto, Y. Shirai, T. Matsuo, N. Mizutani, and S. Sugawa, ECS Meeting, 2020/05/01, Without Invitation, English, The Electrochemical Society
  27. Effect of Drain-to-Source Voltage on Random Telegraph Noise Based on Statistical Analysis of MOSFETs with Various Gate Shapes, R. Akimoto, R. Kuroda, A. Teramoto, T. Mawaki, S. Ichino, T. Suwa, and S. Sugawa, 2020 IEEE International Reliability Physics Symposium, 2020/04/28, Without Invitation, English, IEEE, Virtual
  28. High Reliability CoFeB/MgO/CoFeB Magnetic Tunnel Junction Fabrication Using Low-damage Ion Beam Etching, H. Park, A. Teramoto, J.-i. Tsuchimoto, K. Hashimoto, T. Suwa, M. Hayashi, R. Kuroda, and S. Sugawa, International Conference on Solid State Devices and Materials, 2019/09/06, Without Invitation, English, The Japan Society of Applied Physics, Nagoya
  29. An Accuracy Improved Resistance Measurement Platform For Evaluation of Emerging Memory Materials, T. Maeda, Y. Omura, R. Kuroda, A. Teramoto, T. Suwa, and S. Sugawa, International Conference on Solid State Devices and Materials, 2019/09/04, Without Invitation, English, The Japan Society of Applied Physics, Nagoya
  30. SiNx Deposition at Low Temperature Using UV-Irradiated NH3, Y. Shiba, A. Teramoto, T. Suwa, K. Ishii, A. Shimizu, K. Umezawa, R. Kuroda, and S. Sugawa, 235th ECS Meeting, 2019/05/26, Without Invitation, English, The Electrochemical Society, Dallas
  31. Resistance Measurement Platform for Statistical Analysis of Next Generation Memory Materials, T. Maeda, Y. Omura, A. Teramoto, R. Kuroda, T. Suwa, and S. Sugawa, IEEE International Conference on Microelectronic Test Structures, 2019/03/18, Without Invitation, English, IEEE, Kitakyushu
  32. Impact of Y-O-F Composition in Yttrium Oxyfluoride on Corrosion Resistance to Plasma Irradiation, A. Teramoto, Y. Shiba, T. Goto, Y. Kishi, and S. Sugawa, 5th Annual World Congress of Smart Materials, 2019/03/06, With Invitation, English, BIT, Rome
  33. An Electrical Impedance Biosensor Array for Tracking Moving Cells, N. Ogata, A. Shina, T. Komiya, Y. Iizuka, K. Matsuse, F. Imaizumi, T. Suwa, and A. Teramoto, IEEE SENSORS, 2018/10/28, Without Invitation, English, IEEE, New Delhi
  34. Corrosion Resistance to F and Cl plasma of Yttrium Oxyfluoride (YOF) formed by Sintering, A. Teramoto, Y. Shiba, T. Goto, Y. Kishi, and S. Sugawa, AVS 65th International Symposium, 2018/10/21, Without Invitation, English, American Vacuum Society, Long Beach
  35. Effects of Process Gases and Gate TiN Electrode during the Post Deposition Anneal to ALD-Al2O3 Dielectric Film, M. Saito, A. Teramoto, T. Suwa, K. Nagumo, Y. Shiba, R. Kuroda, and S. Sugawa, VS 65th International Symposium, 2018/10/21, Without Invitation, English, AVS, Long Beach
  36. Impact of CoFeB Surface Roughness on Reliability of MgO Films in CoFeB/MgO/CoFeB Magnetic Tunnel Junction, H. W. Park, A. Teramoto, J. Tsuchimoto, M. Hayashi, K. Hashimoto, and S. Sugawa, 4th International Conference on Atomically Controlled Surfaces, Interfaces and Nanostructures & 26th International Colloquium on Scanning Probe Microscopy, 2018/10/21, Without Invitation, English, The Japan Society of Applied Physics, Sendai
  37. Statistical Analysis of Electric Characteristics Variability Using MOSFETs with Asymmetric Source and Drain, Shinya Ichino, Akinobu Teramoto, Rihito Kuroda, Takezo Mawaki, Tomoyuki Suwa, Shigetoshi Sugawa, IEICE Technical Committee on Silicon Device and Materials, 2018/10/17, Without Invitation, Japanese, Sendai
  38. Impacts of Boron Concentration and Annealing Temperature on Electrical Characteristics of CoFeB/MgO/CoFeB Magnetic Tunnel Junction, H. Park, A. Teramoto, J.-i. Tsuchimoto, M. Hayashi, K. Hashimoto, R. Kuroda, and S. Sugawa, International Conference on Solid State Devices and Materials, 2018/09/09, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  39. Improved Conductance Method for Interface Trap Density of ZrO2-Si interface, H. J. Lin, A. Teramoto, H. Watanabe, R. Kurota, K. Umezawa, K. Furukawa, and S. Sugawa, International Conference on Solid State Devices and Materials, 2018/09/09, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  40. Impact of atomically flat SiO2/Si interface on improvement of MOS device performance, A. Teramoto, T. Suwa, R. Kuroda, and S. Sugawa, European Advanced Materials Congress, 2018/08/21, With Invitation, English, European Advanced Materials Congress, Stockholm
  41. Reliability of MgO in Magnetic Tunnel Junctions Formed by MgO Sputtering and Mg Oxidation, A. Teramoto, K. Hashimoto, T. Suwa, J.-i. Tsuchimoto, M. Hayashi, H. Park, and S. Sugawa, IEEE International Reliability Physics Symposium, 2018/03/11, Without Invitation, English, IEEE, Burlingame
  42. Corrosion Resistance of Yttrium Trifluoride (YF3) and Yttrium Oxyfluoride (YOF) used in Plasma Process Chamber, Y. Shiba, A. Teramoto, T. Goto, and Y. Kishi, AVS 64th International Symposium, 2017/10/29, Without Invitation, English, AVS, Tampa
  43. Difference of the Hysteresis in Capacitance-voltage Characteristics of ALD-Al2O3 MIS Capacitors on Si and GaN Substrate, M. Saito, T. Suwa, A. Teramoto, T. Narita, and T. Kachi, AVS 64th International Symposium, 2017/10/29, Without Invitation, English, AVS, Tampa
  44. Analysis of Random Telegraph Noise Behaviors toward Changes of Source Follower Transistor Operation Conditions using High Accuracy Array Test Circuit, Shinya Ichino, Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Shunichi Wakashima, Shigetoshi Sugawa , IEICE Technical Committee on Silicon Device and Materials, 2017/10/26, Without Invitation, Japanese, Institute of Electronics, Information, and Communication Engineering, Sendai
  45. Experimental Investigation of Localized Stress Induced Leakage Current Distribution and its Decrease by Atomically Flattening Process, Hyeonwoo Park, Rihito Kuroda, Tetsuya Goto, Tomoyuki Suwa, Akinobu Teramoto,Daiki Kimoto, Shigetoshi Sugawa, IEICE Technical Committee on Silicon Device and Materials, 2017/10/25, Without Invitation, Japanese, IEICE, Sendai
  46. Statistical analysis of random telegraph noise in pixel source follower -- Impacts of transistor shape, time constants and number of states --, Rihito Kuroda, Akinobu Teramoto, Shinya Ichino, Takezo Mawaki, Shunichi Wakashima, Shigetoshi Sugawa, The Institute of Image Information and Television Engineers, 2017/09/25, Without Invitation, Japanese, The Institute of Image Information and Television Engineers, Tokyo
  47. Monte-Carlo Simulation of Biomolecules' Fluid-Dynamics in Electrolyte Facing Nanowires Biosensor, C.-A. Lee, A. Teramoto, and H. Watanabe, 17th IEEE International Conference on Nanotechnology, 2017/07/25, Without Invitation, English, IEEE, Pittsburgh
  48. Atomically flat interface for noise reduction in SOIMOSFETs, P. Gaubert, A. Kircher, H. Park, R. Kuroda, S. Sugawa, T. Goto, T. Suwa, and A. Teramoto, 24th International Conference on Noise and Fluctuations, 2017/06/20, Without Invitation, English, IEEE, Vilnius
  49. Improvement in Electrical Characteristics of ALD Al2O3 Film by Microwave Excited Ar/O2 Plasma Treatment, M. Saito, T. Suwa, A. Teramoto, Y. Koda, R. Kuroda, Y. Shiba, S. Sugawa, J. Tsuchimoto, and M. Hayashi., 231st ECS Meeting, 2017/04/15, Without Invitation, English, The Electrochemical Society, New Orleans
  50. Impact of SiO2/Si interface micro-roughness on SILC distribution and dielectric breakdown: A comparative study with atomically flattened devices, H. Park, T. Goto, R. Kuroda, A. Teramoto, T. Suwa, D. Kimoto, and S. Sugawa, IEEE International Reliability Physics Symposium, 2017/04/02, Without Invitation, English, IEEE, Monterey
  51. High Quality Film and Interface Formation using Appropriate Reaction Species, Akinobu Teramoto, AVS 63rd International Symposium, 2016/11/09, With Invitation, Japanese, AVS, Nashville
  52. Behavior of Random Telegraph Noise toward Bias Voltage Changing, Takezo Mawaki, Akinobu Teramoto, Rihito Kuroda, Shinya Ichino, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa, IEICE Technical Committee on Silicon Device and Materials, 2016/10/27, Without Invitation, Japanese, IEICE, Sendai
  53. Formation technology of Flat Surface after Selective Epitaxial Growth on Ion-Implanted (100) Oriented Thin SOI Wafers, Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Shigetoshi Sugawa, Daisuke Suzuki, Yoichiro Chiba, Katsutoshi Ishii, Akira Shimizu, Kazuhide Hasebe, IEICE Technical Committee on Silicon Device and Materials, 2016/10/26, Without Invitation, Japanese, IEICE, Sendai
  54. Effects of the oxidizing species on the interface of Al2O3 film by atomic layer deposition, Masaya Saito, Tomoyuki Suwa, Akinobu Teramoto, Rihito Kuroda, Yasumasa Koda, Hisaya Sugita, Hidekazu Ishii, Yoshinobu Shiba, Yasuyuki Shirai, Shigetoshi Sugawa, Marie Hayashi, Junichi Tsuchimoto, IEICE Technical Committee on Silicon Device and Materials, 2016/10/26, Without Invitation, Japanese, IEICE, Sendai
  55. Low Frequency Noise of Accumulation-Mode n- and p-MOSFETs fabricated on (110) Crystallographic Silicon-Oriented Wafers, P. Gaubert, A. Teramoto, and S. Sugawa, International Conference on Solid State Devices and Materials, 2016/09/26, Without Invitation, English, The Japan Society of Applied Physics, Tsukuba
  56. Formation Technology of Flat Surface after Selective-Epitaxial-Growth on Ion-implanted (100) Oriented Thin SOI Wafers, K. Furukawa, A. Teramoto, R. Kuroda, T. Suwa, K. Hashimoto, S. Sugawa, D. Suzuki, Y. Chiba, K. Ishii, A. Shimizu, and K. Hasebe, International Conference on Solid State Devices and Materials, 2016/09/26, Without Invitation, English, The Japan Soceity of Applied Physics, Tsukuba
  57. Oxidizing Species Dependence of the Interface Reaction during Atomic-Layer-Deposition Process and Post-Deposition-Anneal, T. Suwa, A. Teramoto, Y. Koda, M. Saito, H. Sugita, M. Hayashi, J. Tsuchimoto, H. Ishii, Y. Shiba, Y. Shirai, and S. Sugawa, PRiME Meeting, 2016/09/01, Without Invitation, English, The Electrochemical Society The Electrochemical Society of Japan The Korean Electrochemical Society, Honolulu
  58. Introduction of a High Selectivity Etching Process with Advanced SiNx Etch Gas in the Fabrication of FinFET Structures, T. Kojiri, T. Suwa, K. Hashimoto, A. Teramoto, R. Kuroda, and S. Sugawa, 229th ECS Meeting, 2016/05/31, Without Invitation, English, The Electrochemical Society, San Diego
  59. Low Leakage Current Al2O3 Metal-Insulator-Metal Capacitors Formed By Atomic Layer Deposition at Optimized Process Temperature and O2 Post Deposition Annealing, Y. Koda, H. Sugita, T. Suwa, R. Kuroda, T. Goto, A. Teramoto, and S. Sugawa, 229th ECS Meeting, 2016/05/31, Without Invitation, English, The Electrochemical Society, San Diego
  60. Random Telegraph Noise Measurement and Analysis based on Arrayed Test Circuit toward High S/N CMOS Image Sensors, R. Kuroda, A. Teramoto, and S. Sugawa, IEEE International Conference on Microelectronic Test Structures, 2016/05/28, With Invitation, English, IEEE, Yokohama
  61. Study of process temperature of Al2O3 atomic layer deposition using high accuracy process gasses supply controller, Hisaya Sugita, Yasumasa Koda, Tomoyuki Suwa, Rihito Kuroda, Tetsuya Goto, Hidekazu Ishii, Satoru Yamashita, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi , IEICE Technical Committee on Silicon Device and Materials, 2015/10/30, Without Invitation, Japanese, IEICE, Sendai
  62. A Device Simulation Study on Tunneling and Diffusion Current Hybrid MOSFET, Kiichi Furukawa, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Keiichi Hashimoto, Takashi Kojiri, Shigetoshi Sugawa , IEICE Technical Committee on Silicon Device and Materials, 2015/10/30, Without Invitation, Japanese, IEICE, Sendai
  63. Electrical Properties of MOSFETs Introducing Atomically Flat Gate Insulator/Silicon Interface , Tetsuya Goto, Rihito Kuroda, Tomoyuki Suwa, Akinobu Teramoto, Toshiki Obara, Daiki Kimoto, Shigetoshi Sugawa, Yutaka Kamata, Yuki Kumagai, Katsuhiko Shibusawa, IEICE Technical Committee on Silicon Device and Materials, 2015/10/29, Without Invitation, Japanese, IEICE, Sendai
  64. Ultra-Low Temperature Flattening Technique of Silicon Surface Using Xe/H2 Plasma, Tomoyuki Suwa, Akinobu Teramoto, Tetsuya Goto, Masaki Hirayama, Shigetoshi Sugawa, Tadahiro Ohmi, IEICE Technical Committee on Silicon Device and Materials, 2015/10/29, Without Invitation, Japanese, IEICE, Sendai
  65. Ferroelectric BiFeO3 Formation with Oxigen Radical Treatment, uminobu Imaizumi, Tetsuya Goto, Akinobu Teramoto, Shigetoshi Sugawa, IEICE Technical Committee on Silicon Device and Materials, 2015/10/29, Without Invitation, Japanese, IEICE, Sendai
  66. Effect of Oxygen Impurity on Nitrogen Radicals in Post-Discharge Flows, Y. Shiba, A. Teramoto, T. Suwa, K. Watanabe, S. Nishimura, Y. Shirai, and S. Sugawa, 228th ECS Meeting, 2015/10/11, Without Invitation, English, The Electrochemical Society, Phoenix
  67. 1/f Noise Performances and Noise Sources of Accumulation Mode Si(100) n-MOSFETs, P. Gaubert, A. Teramoto, and S. Sugawa, International Conference on Solid State Devices and Materials, 2015/09/29, Without Invitation, English, The Japan Society of Applied Physics, Sapporo
  68. Proposal of Tunneling and Diffusion Current Hybrid MOSFET, K. Furukawa, R. Kuroda, T. Suwa, K. Hashimoto, A. Teramoto, and S. Sugawa, International Conference on Solid State Devices and Materials, 2015/09/29, Without Invitation, English, The Japan Society of Applied Physics, Sapporo
  69. Drastic suppression of the 1/f noise in MOSFETs: Fundamental fluctuations of mobility rather than induced mobility fluctuations, P. Gaubert, A. Teramoto, S. Sugawa, International Conference on Noise and Fluctuations, 2015/06/02, With Invitation, English, IEEE, Xian
  70. Effect of Hydrogen on Silicon Nitrides Formation by Microwave Excited Plasma Enhanced Chemical Vapor Deposition, A. Teramoto, Y. Nakao, T. Suwa, K. Hashimoto, T. Motoya, M. Hirayama, S. Sugawa, and T. Ohmi, 227th ECS Meeting, 2015/05/24, Without Invitation, English, The Electrochemical Society, Chicago
  71. Ultra-Low Temperature Flattening Technique of Silicon Surface Using Xe/H2 Plasma, T. Suwa, A. Teramoto, T. Goto, M. Hirayama, S. Sugawa, and T. Ohmi, 227th ECS Meeting, 2015/05/24, Without Invitation, English, The Electrochemical Society, Chicago
  72. Effect of Process Temperature of Al2O3 Atomic Layer Deposition Using Accurate Process Gasses Supply System, H. Sugita, Y. Koda, T. Suwa, R. Kuroda, T. Goto, H. Ishii, S. Yamashita, A. Teramoto, S. Sugawa, and T. Ohmi, 227th ECS Meeting, 2015/05/24, Without Invitation, English, The Electrochemical Society, Chicago
  73. Surface Metal Cleaning of GaN Surface Based on Redox Potential of Cleaning Solution, K. Nagao, K. Nakamura, A. Teramoto, Y. Shirai, F. Imaizumi, T. Suwa, S. Sugawa, and T. Ohmi, 227th ECS Meeting, 2015/05/24, Without Invitation, English, The Electrochemical Society, Chicago
  74. Crystallinity Improvement of Ferroelectric BiFeO3 Thin Film by Oxygen Radical Treatment, F. Imaizumi, T. Goto, A. Teramoto, S. Sugawa, and T. Ohmi, 227th ECS Meeting, 2015/05/24, Without Invitation, English, The Electrochemical Society, Chicago
  75. Low Temperature Atomically Flattening of Si Surface of Shallow Trench Isolation Pattern, T. Goto, R. Kuroda, T. Suwa, A. Teramoto, N. Akagawa, D. Kimoto, S. Sugawa, T. Ohmi, Y. Kamata, Y. Kumagai, and K. Shibusawa, 227th ECS Meeting, 2015/05/24, Without Invitation, English, The Electrochemical Society, Chicago
  76. Effect of random telegraph noise reduction by atomically flat gate insulator film/Si interface, Rihito Kuroda, Toshiki Obara, Tetsuya Goto, Naoya Akagawa, Daiki Kimoto, Akinobu Teramoto, Shigetoshi Sugawa, The Institute of Image Information and Television Engineers, 2015/05/08, Without Invitation, Japanese, The Institute of Image Information and Television Engineers, Tokyo
  77. Analysis of trap density causing random telegraph noise in MOSFETs, Toshiki Obara, Akinobu Teramoto, Rihito Kuroda, Akihiro Yonezawa, Tetsuya Goto, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi , IEICE Technical Committee on Silicon Device and Materials, 2014/10/17, Without Invitation, Japanese, IEICE, Sendai
  78. Study on compositional transition layers at Si3N4/Si interface formed by radical nitridation, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi, IEICE Technical Committee on Silicon Device and Materials, 2014/10/17, Without Invitation, Japanese, IEICE, Sendai
  79. Introduction of Atomically Flattening of Silicon Surface in Shallow Trench Isolation Process Technology, Tetsuya Goto, Rihito Kuroda, Naoya Akagawa, Tomoyuki Suwa, Akinobu Teramoto, Xiang Li, Toshiki Obara, Daiki Kimoto, Shigetoshi Sugawa, Tadahiro Ohmi, Yuki Kumagai, Yutaka Kamata, Katsuhiko Shibusawa, IEICE Technical Committee on Silicon Device and Materials, 2014/10/16, Without Invitation, Japanese, IEICE, Sendai
  80. Atomically Flattening of Si Surface of SOI and Isolation-patterned Wafers, T. Goto, R. Kuroda, N. Akagawa, T. Suwa, A. Teramoto, X. Li, S. Sugawa, T. Ohmi, Y. Kumagai, Y. Kamata, and K. Sibusawa, International Conference on Solid State Devices and Materials, 2014/09/08, Without Invitation, Japanese, The Japan Society of Applied Physics, Tsukuba
  81. Demonstrating Individual Leakage Path from Random Telegraph Signal of Stress Induced Leakage Current, A. Teramoto, T. Inatsuka, T. Obara, N. Akagawa, R. Kuroda, S. Sugawa, and T. Ohmi, IEEE International Reliability Physics Symposium, 2014/06/01, Without Invitation, English, IEEE, Waikoloa
  82. Analyzing Correlation between Multiple Traps in RTN Characteristics, T. Obara, A. Teramoto, A. Yonezawa, R. Kuroda, S. Sugawa, and T. Ohmi, IEEE International Reliability Physics Symposium, 2014/06/01, Without Invitation, English, IEEE, Waikoloa
  83. A Novel Analysis of Oxide Breakdown based on Dynamic Observation using Ultra-High Speed Video Capturing Up to 10,000,000 Frames Per Second, R. Kuroda, F. Shao, D. Kimoto, K. Furukawa, H. Sugo, T. Takeda, K. Miyauchi, Y. Tochigi, A. Teramoto, and S. Sugawa, IEEE International Reliability Physics Symposium, 2014/06/01, Without Invitation, English, IEEE, Waikoloa
  84. Effect of Composition Ratio on Erbium Silicide Work Function on Different Morphology of Si(100) Surface Changed by Alkaline Etching, H. Tanaka, T. Suwa, A. Teramoto, T. Motoya, S. Sugawa, and T. Ohmi, 225th ECS Meeting, 2014/04/01, Without Invitation, English, The Electrochemical Society, Orland
  85. Flattening Technique of (551) Silicon Surface Using Xe/H2 Plasma, T. Suwa, A. Teramoto, S. Sugawa, and T. Ohmi, 225th ECS Meeting, 2014/04/01, Without Invitation, English, The Electrochemical Society, Orland
  86. High Selectivity in Dry Etching of Silicon Nitride over Si Using a Novel Hydrofluorocarbon Etch Gas in a Microwave Excited Plasma for FinFET, Y. Nakao, T. Matsuo, A. Teramoto, H. Utsumi, K. Hashimoto, R. Kuroda, Y. Shirai, S. Sugawa, and T. Ohmi, 225th ECS Meeting, 2014/04/01, Without Invitation, English, The Electrochemical Society, Orland
  87. A statistical evaluation of effective time constants of random telegraph noise with various operation timings of in-pixel source follower transistors, A. Yonezawa, R. Kuroda, A. Teramoto, T. Obara, and S. Sugawa, SPIE-IS&T Electronic Imaging,, 2014/02/02, Without Invitation, English, The international society for optics and photonics, San Francisco
  88. Schottky Barrier Height Between Erbium Silicide and Various Morphology of Si(100) Surface Changed By Alkaline Etching, H. Tanaka, A. Teramoto, S. Sugawa, and T. Ohmi, 224th ECS Meeting, 2013/10/27, Without Invitation, English, The Electrochemical Society, San Francisco
  89. High Performance Normally-Off GaN Mosfets On Si Substrates, H. Kambayshi, N. Ikeda, T. Nomura, H. Ueda, Y. Nirozumi, K. Harada, K. Hasebe, A. Teramoto, S. Sugawa, and T. Ohmi, 224th ECS Meeting, 2013/10/27, Without Invitation, English, The Electrochemical Society, San Francisco
  90. Study of Time Constant Analysis in Random Telegraph Noise at the Subthreshold Voltage Region, Akihiro Yonezawa, Akinobu Teramoto, Toshiki Obara, Rihito Kuroda, Shigetoshi Sugawa, Tadahiro Ohmi , IEICE Technical Committee on Silicon Device and Materials, 2013/10/18, Without Invitation, Japanese, IEICE, Sendai
  91. A device structure design of multi-gate MOSFETs based on carrier mobility characteristics of atomically flattened Si surface, Rihito Kuroda, Yukihisa Nakao, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi , IEICE Technical Committee on Silicon Device and Materials, 2013/10/17, Without Invitation, Japanese, IEICE, Sendai
  92. Wet cleaning process for GaN surface at room temperature, Y. Tsuji, T. Katsuyama, A. Teramoto, Y. Shirai, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2013/09/24, Without Invitation, English, The Japan Society of Apllied Physics, Fukuoka
  93. Detection of oxidation-induced compressive stress in Si(100) substrate near the SiO2/Si interface with atomic-scale resolution, T. Suwa, K. Nagata, H. Nohira, K. Nakajima, A. Teramoto, A. Ogura, K. Kimura, T. Muro, T. Kinoshita, S. Sugawa, T. Hattori, and T. Ohmi, International Conference on Solid State Devices and Materials, 2013/09/24, Without Invitation, English, The Japan Society of Applied Physics, Fukuoka
  94. Extraction of Time Constants Ratio over Nine Orders of Magnitude for Understanding Random Telegraph Noise in MOSFETs, T. Obara, A. Yonezawa, A. Teramoto, R. Kuroda, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2013/09/24, Without Invitation, English, The Japan Society of Applied Physics, Fukuoka
  95. Carrier Mobility on (100), (110), and (551) Oriented Atomically Flattened Si Surfaces for Multi-gate MOSFETs Device Design, R. Kuroda, Y. Nakao, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2013/09/24, Without Invitation, English, The Japan Society of Applied Physics, Fukuoka
  96. Impact of Injected Carrier Types to Stress Induced Leakage Current Using Substrate Hot Carrier Injection Stress, H. W. Park, A. Teramoto, T. Inatsuka, R. Kuroda, S. Sugawa, and T. Ohmi, Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 2013/06/26, Without Invitation, English, The Institute of Electronics, Information and Communication Engineers The Institute of Electronics and Information Engineers of Korea, Seoul
  97. Stress induced leakage current generated by hot-hole injection, A. Teramoto, H. W. Park, T. Inatsuka, R. Kuroda, S. Sugawa, and T. Ohmi, CEZAMAT, 18th Conference of "Insulating Films on Semiconductors", 2013/06/25, Without Invitation, English, Cracow
  98. Angle-Resolved Photoelectron Spectroscopy Study on Interfacial Transition Layer and Oxidation-Induced Residual Stress in Si(100) Substarte Near the Interface, T. Suwa, A. Teramoto, K. Nagata, A. Ogura, T. Muro, T. Kinoshita, T. Ohmi, and T. Hattori, CEZAMAT, 18th Conference of "Insulating Films on Semiconductors", 2013/06/25, Without Invitation, English, Cracow
  99. 1/f noise of accumulation mode p- and n-MOSFETs, P. Gaubert, A. Teramoto, S. Sugawa, and T. Ohmi, 22nd International Conference on Noise and Fluctuations, 2013/06/24, Without Invitation, English, IEEE, Montpellier
  100. High-Speed and Highly Accurate Evaluation of Electrical Characteristics in MOSFETs, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on IC Design and Technology, 2013/05/29, With Invitation, English, IEEE, Pavia
  101. XPS analysis of the terminated-bonding states at GaN surface after chemical and plasma treatments, Y. Tsuji, T. Watanabe, K. Nakamura, I. Makabe, K. Nakata, T. Katsuyama, A. Teramoto, Y. Shirai, S. Sugawa, and T. Ohmi, The 40th International Symposium on Compound Semiconductors, 2013/05/19, Without Invitation, English, Kobe
  102. Effect of Composition Rate on Erbium Silicide Work Function on Different Silicon Surface Orientation, H. Tanaka, A. Teramoto, T. Motoya, S. Sugawa, and T. Ohmi, 223rd ECS Meeting, 2013/05/15, Without Invitation, English, The Electrochemical Society, Toronto
  103. The study of time constant analysis in random telegraph noise at the sub-threshold voltage region, A. Yonezawa, A. Teramoto, T. Obara, R. Kuroda, S. Sugawa, and T. Ohmi, IEEE International Reliability Physics Symposium, 2013/04/14, Without Invitation, English, IEEE, Monterey
  104. Demonstrating Distribution of SILC Values at Individual Leakage Spots , T. Inatsuka, R. Kuroda, A. Teramoto, Y. Kumagai, S. Sugawa, and T. Ohmi, IEEE International Reliability Physics Symposium, 2013/04/14, Without Invitation, English, IEEE, Monterey
  105. High Integrity SiO2/Al2O3 Gate Stack for Normally-off GaN MOSFET, H. Kambayashi, T. Nomura, H. Ueda, K. Harada, Y. Morozumi, K. Hasebe, A. Teramoto, S. Sugawa, and T. Ohmi, MRS Spring Meeting, 2013/04/01, Without Invitation, English, Material research society, San Francisco
  106. Detection of crystalline like structures in SiO2 thin films formed using oxygen molecules/radicals, K. Nagata, T. Yamaguchi, A. Ogura, T. Koganezawa, I. Hirosawa, T. Suwa, A. Teramoto, T. Hattori, and T. Ohmi, The 6th International Symposium on Advanced Science and Technology of Silicon Materials, 2012/11/19, Without Invitation, Japanese, Japan Society for the Promotion of Science, Kona
  107. Accumulation-mode SOI CMOS Performance on Very Flat Si(551) Syrface, Akinobu Teramoto, BIT's 2nd Annual World Congress of Nanosciene and Nanotechnology, 2012/10/28, With Invitation, English, BIT, Qindao
  108. Low Temperature PECVD of High Quality Silicon Nitride for Gate Spacer, Yukihisa Nakao, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Hiroaki Tanaka, Shigetoshi Sugawa, Tadahiro Ohmi , IEICE Technical Committee on Silicon Device and Materials, 2012/10/26, Without Invitation, Japanese, IEICE, Sendai
  109. Noise Performance of Accumulation MOSFETs, P. Gaubert, A. Teramoto, S. Sugawa, and T. Ohmi, IEICE Technical Committee on Silicon Device and Materials, 2012/10/26, Without Invitation, Japanese, IEICE, Sendai
  110. Evaluation of crystalline phase in SiO2 thin film using grazing incidence X-ray diffraction, Kohki Nagata, Takuya Yamaguchi, Atsushi Ogura, Tomoyuki Koganezawa, Ichiro Hirosawa, Tomoyuki Suwa, Akinobu Teramoto, Takeo Hattori, Tadahiro Ohmi , IEICE Technical Committee on Silicon Device and Materials, 2012/10/25, Without Invitation, Japanese, IEICE, Sendai
  111. Chemical structures of compositional transition layer at SiO2/Si(100) interface, Tomoyuki Suwa, Akinobu Teramoto, Takayuki Muro, Toyohiko Kinoshita, Shigetoshi Sugawa, Takeo Hattori, Tadahiro Ohmi, IEICE Technical Committee on Silicon Device and Materials, 2012/10/25, Without Invitation, Japanese, IEICE, Sendai
  112. Effect of Erbium Silicide Crystallinity for Low Barrier Contact Between Erbium Silicide and n-type Silicon, H. Tanaka, A. Teramoto, S. Sugawa, and T. Ohmi, 222nd ECS Meeting, 2012/10/10, Without Invitation, English, The Electrochemical Society, Honolulu
  113. Comprehensive Study on Chemical Structures of Compositional Transition Layer at SiO2/Si(100) Interface, T. Suwa, A. Teramoto, T. Muro, T. Kinoshita, S. Sugawa, T. Hattori, and T. Ohmi, 222nd ECS Meeting, 2012/10/09, Without Invitation, English, The Electrochemical Society, Honolulu
  114. Dependence of chemical structures of transition layer at SiO2/Si(100) interface on oxidation temperature, annealing in forming gas, and oxidizing species, T. Suwa, A. Teramoto, T. Muro, T. Kinoshita, S. Sugawa, T. Hattori, and T. Ohmi, International Conference on Solid State Devices and Materials, 2012/09/25, Without Invitation, English, The Japan Society of Applied Physics, Kyoto
  115. High Quality SiO2/Al2O3 Gate Stack for GaN MOSFET, H. Kambayashi, T. Nomura, H. Ueda, K. Harada, Y. Morozumi, K. Hasebe, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2012/09/25, Without Invitation, English, The Japan Society of Applied Physics, Kyoto
  116. Low frequency noise assessment of accumulation Si p-MOSFETs, P. Gaubert, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2012/09/25, Without Invitation, English, The Japan Society of Applied Physics, Kyoto
  117. The role of the temperature on the scattering mechanisms limiting the electron mobility in metal-oxide-semiconductor field-effect-transistors fabricated on (110) silicon-oriented wafers, P. Gaubert, A. Teramoto, S. Sugawa, and T. Ohmi, The European Solid-State Device Research Conference, 2012/09/17, Without Invitation, English, CEZAMAT, Bordeaux
  118. 100nm-gate-length Normally-off Accumulation-Mode FD-SOI MOSFETs for Low Noise Analog/RF Circuits, H. Utsumi, R. Kasahara, Y. Nakao, R. Kuroda, A. Teramoto, S. Sugawa, and T. Ohmi, Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 2012/06/27, Without Invitation, English, The Institute of Electronics, Information and Communication Engineers The Institute of Electronics and Information Engineers of Korea, Naha
  119. A Novel Chemically, Thermally and Electrically Robust Cu Interconnect Structure with an Organic Non-porous Ultralow-k Dielectric Fluorocarbon (k=2.2), X. Gu, A. Teramoto, R. Kuroda, Y. Tomita, T. Nemoto, S. Kuroki, S. Sugawa, and T. Ohmi, Symposium on VLSI Technology, 2012/06/13, Without Invitation, English, IEEE The Japan Society of Applied Physics, Honolulu
  120. Low Work Function between Erbium Silicide and n-type Silicon Control by Cap Film Stress, H. Tanaka, A. Teramoto, S. Sugawa, and T. Ohmi, 221st ECS Meeting, 2012/05/06, Without Invitation, English, The Electrochemical Society, Seattle
  121. Changes in SiO2/Si(100) Interface Structure Induced by Forming Gas Annealing, T. Suwa, Y. Kumagai, A. Teramoto, T. Muro, T. Kinoshita, S. Sugawa, T. Hattori, and T. Ohmi, 221st ECS Meeting, 2012/05/06, Without Invitation, English, The Electrochemical Society, Seattle
  122. Electrical Properties of Silicon Nitride Using High Density and Low Plasma Damage PECVD Formed at 400[ordinal indicator, masculine]C, Y. Nakao, R. Kuroda, H. Tanaka, A. Teramoto, S. Sugawa, and T. Ohmi, 221st ECS Meeting, 2012/05/06, Without Invitation, English, The Electrochemical Society, Seattle
  123. Statistical analysis of Random Telegraph Noise reduction effect by separating channel from the interface, A. Yonezawa, A. Teramoto, R. Kuroda, H. Suzuki, S. Sugawa, and T. Ohmi, IEEE International Reliability Physics Symposium, 2012/04/15, Without Invitation, English, IEEE, Anaheim
  124. A test circuit for extremely low gate leakage current measurement of 10 aA for 80,000 MOSFETs in 80 s, Y. Kumagai, T. Inatsuka, R. Kuroda, A. Teramoto, T. Suwa, S. Sugawa, and T. Ohmi, IEEE International Conference on Microelectronic Test Structures, 2012/03/19, Without Invitation, English, IEEE, Anaheim
  125. A statistical evaluation of low frequency noise of in-pixel source follower-equivalent transistors with various channel types and body bias, R. Kuroda, A. Yonezawa, A. Teramoto, T. L. Li, Y. Tochigi, and S. Sugawa, SPIE, Sensors, Cameras, and Systems for Industrial and Scientific Applications XIV, 2012/02/19, Without Invitation, English, The international society for optics and photonics, Burlingame
  126. Development of Direct-polish Process of CMP and Post-CMP Clean for Next Generation Advanced Cu Interconnects, X. Gu, Y. Tomita, T. Nemoto, A. Teramoto, R. D. Mateo, T. Sakai, R. Kuroda, S. Sugawa, and T. Ohmi, International Conference on Planarization/CMP Technology, 2011/11/09, Without Invitation, English, CMPUGM JJCNS, Seoul
  127. Clear Difference between the Chemical Structure of SiO2/Si Interfaces Formed Using Oxygen Radicals and Oxygen Molecules, Tomoyuki Suwa, Yuki Kumagai, Akinobu Teramoto, Toyohiko Kinoshita, Takayuki Muro, Takeo Hattori, Tadahiro Ohmi , IEICE Technical Committee on Silicon Device and Materials, 2011/10/21, Without Invitation, Japanese, IEICE, Sendai
  128. On the relation between interface flattening effect and insulator breakdown characteristic of radical reaction based insulator formation technology, Rihito Kuroda, Akinobu Teramoto, Xiang Li, Tomoyuki Suwa, Shigetoshi Sugawa, Tadahiro Ohmi, IEICE Technical Committee on Silicon Device and Materials, 2011/10/20, Without Invitation, Japanese, IEICE, Sendai
  129. Statistical Evaluations of Generation and Recovery Characteristics of Anomalous Stress Induced Leakage Current, Takuya Inatsuka, Yuki Kumagai, Rihito Kuroda, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi , IEICE Technical Committee on Silicon Device and Materials, 2011/10/20, Without Invitation, Japanese, IEICE, Sendai
  130. Reduction of Random Telegraph Noise with Broad Channel MOSFET, Hiroyoshi Suzuki, Rihito Kuroda, Akinobu Teramoto, Akihiro Yonezawa, Hiroaki Matsuoka, Taiki Nakazawa, Kenichi Abe, Shigetoshi Sugawa, Tadahiro Ohmi, IEICE Technical Committee on Silicon Device and Materials, 2011/10/20, Without Invitation, Japanese, IEICE, Sendai
  131. Gate SiO2 Film Integrity on Ultra-Pure Argon Anneal (100) Silicon Surface, A. Teramoto, X. Li, R. Kuroda, T. Suwa, S. Sugawa, and T. Ohmi, 220th ECS Meeting, 2011/10/09, Without Invitation, English, The Electrochemical Society, Boston
  132. Different Properties of Erbium Silicides on Si(100) and Si(551) Orientation Surfaces, H. Tanaka, A. Teramoto, R. Kuroda, Y. Nakao, T. Suwa, S. Sugawa, and T. Ohmi, 220th ECS Meeting, 2011/10/09, Without Invitation, English, The Electrochemical Society, Boston
  133. High Power Normally-Off GaN MOSFET on Si Substrate, H. Kambayashi, Y. Satoh, T. Kokawa, N. Ikeda, T. Nomura, S. Kato, A. Teramoto, S. Sugawa, and T. Ohmi, 220th ECS Meeting, 2011/10/09, With Invitation, English, The Electrochemical Society, Boston
  134. Impact of Random Telegraph Noise Reduction with Buried Channel MOSFET, H. Suzuki, R. Kuroda, A. Teramoto, A. Yonezawa, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2011/09/28, Without Invitation, English, The Japan Society of Applied Physics, Nagoya
  135. Clear Difference between Chemical Structure of SiO2/Si Interface Formed Using Oxygen Radicals and That Formed Using Oxygen Molecules, T. Suwa, Y. Kumagai, A. Teramoto, T. Muro, T. Kinoshita, S. Sugawa, T. Hattori, and T. Ohmi, International Conference on Solid State Devices and Materials, 2011/09/28, Without Invitation, English, The Japan Society of Applied Physics, Nagoya
  136. High Quality and Low Thermal Budget Silicon Nitride Deposition Using PECVD for Gate Spacer, Silicide Block and Contact Etch Stopper, Y. Nakao, R. Kuroda, H. Tanaka, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2011/09/28, Without Invitation, English, The Japan Society of Applied Physics, Nagoya
  137. On the Si Surface Flattening Effect and Gate Insulator Breakdown Characteristic of Radical Reaction Based Ins u lator Formation Technology, R. Kuroda, A. Teramoto, X. Li, T. Suwa, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2011/09/28, Without Invitation, English, The Japan Society of Applied Physics, Nagoya
  138. High Integrity SiO2 Gate Insulator Formed by Microwave-Excited PECVD for AlGaN/GaN Hybrid MOS-HFET on Si Substrate, H. Kambayashi, T. Nomura, S. Kato, H. Ueda, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2011/09/28, Without Invitation, English, The Japan Society of Applied Physics, Nagoya
  139. Recovery Characteristic of Anomalous Stress Induced Leakage Current of 5.6nm Oxide Films, T. Inatsuka, Y. Kumagai, R. Kuroda, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2011/09/28, Without Invitation, English, 応用物理学会, 名古屋
  140. Evidence of the universality of the hole mobility in accumulation MOS transistors, P. Gaubert, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2011/09/28, Without Invitation, English, The Japan Society of Applied Physics, Nagoya
  141. Process Optimization for Improved Compatibility with Organic Non-porous Low-k Dielectric Fluorocarbon on advanced Cu Interconnects, X. Gu, Y. Tomita, T. Nemoto, K. Miyatani, A. Saito, Y. Kobayashi, A. Teramoto, R. Kuroda, S.-I. Kuroki, T. Nozawa, T. Matsuoka, S. Sugawa, and T. Ohmi, Advanced Metallization Conference, 2011/09/12, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  142. Clear Difference between the Chemical Structure of SiO2/Si Interfaces Formed Using Oxygen Radicals and Oxygen Molecules, T. Suwa, A. Teramoto, T. Ohmi, and T. Hattori, Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 2011/06/29, Without Invitation, English, The Institute of Electronics, Information and Communication Engineers The Institute of Electronics and Information Engineers, Daejeon
  143. Structural analysis of atomically flat SiO2/Si interface using CTR scattering around the 110 forbidden reflection, K. Nagata, M. Hattori, D. Kosemura, M. Takei, A. Ogura, T. Koganezawa, I. Hirosawa, T. Suwa, A. Teramoto, T. Hattori, and T. Ohmi, E-MRS ICAM IUMRS 2011 Spring Meeting , 2011/05/09, Without Invitation, English, International Union of Materials Research Society, Nice
  144. Cu Damascene Interconnects with an Organic Low-k Fluorocarbon Dielectric Deposited by Microwave Excited Plasma Enhanced CVD, X. Gu, T. Nemoto, Y. Tomita, A. Shirotori, R. Duyos-Mateo, K. Miyatani, A. Saito, Y. Kobayashi, A. Teramoto, S.-I. Kuroki, T. Nozawa, T. Matsuoka, S. Sugawa, and T. Ohmi, IEEE International Interconnect Technology Conference, 2011/05/09, Without Invitation, English, IEEE, Dresden
  145. Pr3Si6N11/Si3N4 Stacked High-k Gate Dielectrics with High Quality Ultrathin Si3N4 Interfacial Layers, H. Wakamatsu, A. Teramoto, and T. Ohmi, 219th ECS Meeting, 2011/05/01, Without Invitation, English, The Electrochemical Society, Montreal
  146. Clear Difference between the Chemical Structure of SiO2/Si Interface Formed Using Oxygen Radicals and that Formed Using Oxygen Molecules, T. Suwa, Y. Kumagai, A. Teramoto, T. Muro, T. Kinoshita, T. Hattori, and T. Ohmi, 219th ECS Meeting, 2011/05/01, Without Invitation, English, The Electrochemical Society, Montreal
  147. Understanding of Traps Causing Random Telegraph Noise Based on Experimentally Extracted Time Constants and Amplitude, K. Abe, A. Teramoto, S. Sugawa, and T. Ohmi, IEEE International Reliability Physics Symposium, 2011/04/10, Without Invitation, English, IEEE, Monterey
  148. Observation of Single Atomic Steps on an Ultra-Flat Si (100) Surface by a Differential Interference Contrast Microscope, Y.-G. Kim, S.-i. Kobayashi, R. Wen, K. Yasuda, T. Suwa, R. Kuroda, X. Li, A. Teramoto, T. Ohmi, and K. Itaya, he 2011 WPI-AIMR Annual Workshop, 2011/02/21, Without Invitation, English, Tohoku University, Sendai
  149. High reliable SiO2 Films on Atomically Flat Silicon Surface Formed by Low Temperature Pure Ar Annealing, X. Li, R. Kuroda, T. Suwa, A. Teramoto, S. Sugawa, and T. Ohmi, International Workshop on Dielectric Thin Film For Future Electron devices, 2011/01/20, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  150. Ultra-low Series Resistance W/ErSi2/n+-Si and W/Pd2Si/p+-Si S/D Electrodes for Advanced CMOS Platform, R. Kuroda, H. Tanaka, Y. Nakao, A. Teramoto, N. Miyamoto, S. Sugawa, and T. Ohmi, International Electron Device Meeting, 2010/12/06, Without Invitation, English, IEEE, San Francisco
  151. Statistical Evaluation of Random Telegraph Signal in MOFET, Akinobu Teramoto, Ken-ichi Abe, Shigetoshi Sugawa, and Tadahiro Ohmi, IEICE Technical Committee on Silicon Device and Materials, 2010/11/11, Without Invitation, Japanese, IEICE, Sendai
  152. Statistical Evaluation for Trap Energy Level of RTS Characteristics, TERAMOTO Akinobu, 2010/10/22, With Invitation, Japanese, The Japan Society of Applied Physics, Tokyo
  153. Strain evaluation in Si at atomically flat SiO2/Si interface, Maki Hattori, Daisuke Kosemura, Munehisa Takei, Kohki Nagata, Hiroaki Akamatsu, Motohiro Tomita, Yuuki Mizukami, Yuuki Hashiguchi, Takuya Yamaguchi, Atsushi Ogura, Tomoyuki Suwa, Akinobu Teramoto, Takeo Hattori, Tadahiro Ohmi, Tomoyuki Koganezawa , IEICE Technical Committee on Silicon Device and Materials, 2010/10/22, Without Invitation, Japanese, IEICE, Sendai
  154. Crystallographic orientation dependence of compositional transition and valence band offset at SiO2/Si interface formed using oxygen radicals, Tomoyuki Suwa, Yuki Kumagai, Akinobu Teramoto, Tadahiro Ohmi, Takeo Hattori, Toyohiko Kinoshita, Takayuki Muro , IEICE Technical Committee on Silicon Device and Materials, 2010/10/22, Without Invitation, Japanese, IEICE, Sendai
  155. Integration of Novel Non-porous Low-k Dielectric Fluorocarbon into Advanced Cu Interconnects, Xun Gu, Takenao Nemoto, Yugo Tomita, Akinobu Teramoto, Shin-Ichiro Kuroki, Shigetoshi Sugawa, Tadahiro Ohmi, IEICE Technical Committee on Silicon Device and Materials, 2010/10/22, Without Invitation, Japanese, IEICE, Sendai
  156. Low Resistance Source/Drain Contacts with Low Schottky Barrier for High Performance Transistors, Hiroaki Tanaka, Rihito Kuroda, Yukihisa Nakao, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi , IEICE Technical Committee on Silicon Device and Materials, 2010/10/21, Without Invitation, Japanese, IEICE, Sendai
  157. Tribological Effects of Brush Scrubbing in Post-CMP Cleaning on the Electrical Characteristics in the Novel Non-porous Low-k Dielectric on Cu Interconnects, X. Gu, T. Nemoto, Y. Tomita, A. Teramoto, S. Sugawa, and T. Ohmi, Advanced Metallization Conference, 2010/10/19, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  158. Electrical Characteristics of Novel Non-porous Low-k Dielectric Fluorocarbon on Cu Interconnects for 22nm Generation and Beyond, X. Gu, T. Nemoto, Y. Tomita, K. Miyatani, A. Saito, Y. Kobayashi, A. Teramoto, S.-I. Kuroki, T. Nozawa, T. Matsuoka, S. Sugawa, and T. Ohmi, Advanced Metallization Conference, 2010/10/19, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  159. Evaluation of Narrow Gap Filling Ability in Shallow Trench Isolation by Organosiloxane Sol-Gel Precursor, K. Watanuki, A. Inokuchi, A. Banba, N. Manabe, H. Suzuki, T. Koike, T. Adachi, T. Goto, A. Teramoto, Y. Shirai, S. Sugawa, and T. Ohmi, 218th ECS Meeting, 2010/10/10, Without Invitation, English, The Electrochemical Society, Las Vegas
  160. Techniques for high accurate and fast measurement of RTN and fabrication process conditions having a strong influence on RTN characteristics, K. Abe, A. Teramoto, S. Sugawa, and T. Ohmi, The Institute of Image Information and Television Engineers, 2010/09/27, Without Invitation, Japanese, The Institute of Image Information and Television Engineers, Tokyo
  161. Large Scale Test Circuits for Systematic Evaluation of Variability and Noise of MOSFETs’ Electrical Characteristics, Y. Kumagai, K. Abe, T. Fujisawa, S. Watabe, R. Kuroda, N. Miyamoto, T. Suwa, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2010/09/22, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  162. Drastic reduction of the low frequency noise in Si(100) p-MOSFETs , P. Gaubert, A. Teramoto, R. Kuroda, Y. Nakao, H. Tanaka, and T. Ohmi, International Conference on Solid State Devices and Materials, 2010/09/22, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  163. Impact of the Channel Direction Dependent Low Field Hole Mobility on Si(100), R. Kuroda, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2010/09/22, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  164. Strain field under SiO2/Si interface revealed by multiple X-ray diffraction phenomenon, W. Yashiro, Y. Yoda, T. Aratani, A. Teramoto, T. Hattori, and K. Miki, The Eleventh International Conference on Surface X-ray and Neutron Scattering, 2010/07/13, Without Invitation, English, DESY, Evanston
  165. Statistical evaluation for trap energy level of RTS characteristics, A. Teramoto, T. Fujisawa, K. Abe, S. Sugawa, and T. Ohmi, Symposium on VLSI Technology, 2010/06/15, Without Invitation, English, IEEE The Japan Society of Applied Physics, Honolulu
  166. Statistical evaluation of dynamic junction leakage current fluctuation using a simple arrayed capacitors circuit, K. Abe, T. Fujisawa, H. Suzuki, S. Watabe, R. Kuroda, S. Sugawa, A. Teramoto, and T. Ohmi, IEEE International Reliability Physics Symposium, 2010/05/02, Without Invitation, English, IEEE, Anaheim
  167. Impact of Work Function Optimized S/D Silicide Contact for High Current Drivability CMOS, Y. Nakao, R. Kuroda, H. Tanaka, T. Isogai, A. Teramoto, S. Sugawa, and T. Ohmi, 217th ECS Meeting, 2010/04/26, Without Invitation, English, The Electrochemical Society, Vancouver
  168. Atomically Flattening Technology at 850 ºC for Si(100) Surface, X. Li, A. Teramoto, T. Suwa, R. Kuroda, S. Sugawa, and T. Ohmi, 217th ECS Meeting, 2010/04/25, Without Invitation, English, The Electrochemical Society, Vancouver
  169. A Test Structure for Statistical Evaluation of pn Junction Leakage Current Based on CMOS Image SensorTechnology, K. Abe, T. Fujisawa, H. Suzuki, S. Watabe, R. Kuroda, S. Sugawa, A. Teramoto, and T. Ohmi, IEEE International Conference on Microelectronic Test Structures, Hiroshima, 2010/03/22, Without Invitation, English, IEEE, Hiroshima
  170. Qualification of Dynamic Pressure Distribution on Wafer by Pressure Sensing Sheet during Polishing, X. Gu, T. Nemoto, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Planarization/CMP Technology, 2009/11/19, Without Invitation, English, SEMI, Fukuoka
  171. The Study of Electrical and Structual Properties of SiO2 Film Containing Metal oxide using Organosiloxane-based Silica Precursor, K. Watanuki, A. Inokuchi, A. Bamba, H. Suzuki, T. Koike, T. Adachi, A. Teramoto, Y. Shirai, S. Sugawa, and T. Ohmi, AVS 56th International Symposium & Exhibition, 2009/11/08, Without Invitation, English, AVS, San Jose
  172. Study on compositional transition layers at SiO2/Si interface formed by radical oxidation, T. Suwa, A. Teramoto, T. Ohmi, T. Hattori, Y. KatoT. Kinoshita, T. Muro,, IEICE Technical Committee on Silicon Device and Materials, 2009/10/22, Without Invitation, Japanese, IEICE, Sendai
  173. Statistical Analysis of Random Telegraph Signal Using a Large-Scale Array TEG with a Long Time Measurement, T. Fujisawa, K. Abe, S. Watabe, N. Miyamoto, A. Teramoto, S. Sugawa, T. Ohmi, IEICE Technical Committee on Silicon Device and Materials, 2009/10/22, Without Invitation, Japanese, IEICE, Sendai
  174. Low Contact Resistance with Low Silicide/p+-Silicon Schottky Barrier for High Performance p-channel MOSFETs , H. Tanaka, T. Isogai, T. Goto, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2009/10/07, Without Invitation, English, The Japan Society of Applied Physics, Sendai
  175. Impact of Very Low Series Resistance due to Raised Metal S/D Structure with Very Low Contact Resistance Silicide for sub-100-nm nMOSFET, R. Kuroda, T. Isogai, H. Tanaka, Y. Nakao, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2009/10/07, Without Invitation, English, The Japan Society of Applied Physics, Sendai
  176. Suppression of Vth Variability for n-MOSFET in Dual Oxide Formation Process, Y. Kamata, K. Shibusawa, K. Abe, S. Sugawa, A. Teramoto, and T. Ohmi, International Conference on Solid State Devices and Materials, 2009/10/07, Without Invitation, English, The Japan Society of Applied Physics, Sendai
  177. Statistical Analysis of Time Constant Ratio of Random Telegraph Signal with Very Large-Scale Array TEG, T. Fujisawa, K. Abe, S. Watabe, N. Miyamoto, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2009/10/07, Without Invitation, English, The Japan Society of Applied Physics, Sendai
  178. Light-emitting Diode Based on ZnO by Plasma Enhanced MOCVD Employing Microwave Exited Plasma , H. Asahara, D. Takamizu, A. Inokuchi, M. Hirayama, A. Teramoto, and T. Ohmi, International Conference on Solid State Devices and Materials, 2009/10/07, Without Invitation, English, The Japan Society of Applied Physics, Sendai
  179. Impact of Channel Doping Concentration on Random Telegraph Signal Noise, K. Abe, A. Teramoto, S. Watabe, T. Fujisawa, S. Sugawa, Y. Kamata, K. Shibusawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2009/10/07, Without Invitation, English, The Japan Society of Applied Physics, Sendai
  180. Very High Performance CMOS on Si(551) Surface Using Radical Oxidation Silicon Flattening Technology and Accumulation-Mode SOI Device Structure, W. Cheng, A. Teramoto, and T. Ohmi, 216th ECS Meeting, 2009/10/04, Without Invitation, English, The Electrochemical Society, Vienna
  181. Damage free very low electron temperature plasma process for low Flicker noise in p-MOS fabricated on (100) and (110) silicon-oriented wafers, P. Gaubert, A. Teramoto, T. Ohmi, The 39th European Solid-State Device Research Conference, 2009/09/14, Without Invitation, English, IEEE, Athens
  182. Obvious suppression of performance degradation induced by thermal effect in SOI power LDMOSFETs using accumulation mode device structure, W. Cheng, A. Teramoto, and T. Ohmi, 13th European Conference on Power Electronics and Applications, 2009/09/08, Without Invitation, Japanese, IEEE, Barcelona
  183. Experimental demonstration and analysis of high performance and low 1/f noise Tri-gate MOSFETs by optimizing device structure, W. Cheng, A. Teramoto, T. Ohmi, The 16th International Conference Insulating Films on Semiconductors, 2009/06/29, Without Invitation, English, Cambridge
  184. MOS Transistors fabricated on Si(551) surface based on radical reaction processes, A. Teramoto, W. Cheng, C. F. Tye, S. Sugawa, and T. Ohmi, Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 2009/06/24, With Invitation, Japanese, The Institute of Electronics and Information Engineers The Institute of Electronics, Information and Communication Engineers, Busan
  185. A Statistical Analysis of Distributions of RTS Characteristics by Wide-Range Sampling Frequencies, K. Abe, T. Fujisawa, A. Teramoto, S. Watabe, S. Sugawa, and T. Ohmi, sia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 2009/06/24, Without Invitation, English, The Institute of Electronics and Information Engineers The Institute of Electronics, Information and Communication Engineers, Busan
  186. Study on Compositional Transition Layers at Gate Dielectrics/Si Interface by using Angle-resolved X-ray Photoelectron, T. Suwa, T. Aratani, M. Higuchi, S. Sugawa, E. Ikenaga, J. Ushio, H. Nohira, A. Teramoto, T. Ohmi, and T. Hattori, Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 2009/06/24, Without Invitation, English, The Institute of Electronics and Information Engineers The Institute of Electronics, Information and Communication Engineers, Busan
  187. Suppression of 1/f Noise in Accumulation Mode FD-SOI MOSFETs on Si(100) and (110) Surfaces, W. Cheng, C. Tye, P. Gaubert, A. Teramoto, S. Sugawa, and T. Ohmi, 20th International Conference on Noise and Fluctuations, 2009/06/14, Without Invitation, English, IEEE, Pisa
  188. Thermal Decomposition Characteristics of Metal-organic Materials of Zinc Oxide for Evaluation as MOCVD Precursor, K. Watanuki, H. Asahara, A. Inokuchi, T. Kadota, C. Hasegawa, H. Kanato, A. Teramoto, Y. Shirai, and T. Ohmi, 215th ECS Meeting, 2009/05/24, Without Invitation, English, The Electrochemical Society, San Francisco
  189. UV-Raman Spectroscopy Study on SiO2/Si Interface, M. Hattori, T. Yoshida, D. Kosemura, A. Ogura, T. Suwa, A. Teramoto, T. Hattori, and T. Ohmi, 215th ECS Meeting, 2009/05/24, Without Invitation, English, The Electrochemical Society, San Francisco
  190. Reduction of Scratch on Brush Scrubbing in Post CMP Cleaning by Analyzing Contact Kinetics on Ultra Low-k Dielectric, X. Gu, T. Nemoto, A. Teramoto, T. Ito, and T. Ohmi, 215th ECS Meeting, 2009/05/24, Without Invitation, English, The Electrochemical Society, San Francisco
  191. Atomically Flat Interface for the Reduction of the Low Frequency Noise on Si(100) nMOS Transistors, P. Gaubert, R. Kuroda, S. Endo, Y. Kuboyama, T. Kitagaki, H. Nada, H. Tamura, A. Teramoto, and T. Ohmi, 215th ECS Meeting, 2009/05/24, Without Invitation, English, The Electrochemical Society, San Francisco
  192. Deposition of Noncrystalline SiGe by Magnetron Sputtering on SiO2 Substrate, A. Hiroe, T. Goto, A. Teramoto, T. Ohmi, IEICE, 2009/05/07, Without Invitation, Japanese, IEICE, Toyohashi
  193. Asymmetry of RTS characteristics along source-drain direction and statistical analysis of process-induced RTS, K. Abe, Y. Kumagai, S. Sugawa, S. Watabe, T. Fujisawa, A. Teramoto, and T. Ohmi, IEEE International Reliability Physics Symposium, 2009/04/26, Without Invitation, English, IEEE, Montreal
  194. Optimizing Pad Groove Design and Polishing Kinematics for Reduced Shear Force, Low Force Fluctuation and Optimum Removal Rate Attributes of Copper CMP, Y. Sampurno, A. Philipossian, S. Theng, T. Nemoto, X. Gu, Y. Zhuang, A. Teramoto, and T. Ohmi, MRS Spring Meeting, 2009/04/13, Without Invitation, English, Material Research Society, San Francisco
  195. Effect of Pad Groove Design and Polisher Kinematics in Reducing Average and Variance of Shear Force and Increasing Removal Rate in Copper CMP, Y. Sampurno, A. Philipossian, S. Theng, T. Nemoto, X. Gu, Y. Zhuang, A. Teramoto, and T. Ohmi, MRS Spring Meeting, 2009/04/13, Without Invitation, English, Material Research Society, San Francisco
  196. Novel End-point Detection Method by Monitoring Shear Force Oscillation Frequency for Barrier Metal Polishing in Advanced LSI, X. Gu, T. Nemoto, A. Philipossian, J. Cheng, Y. A. Sampurno, Y. Zhuang, A. Teramoto, T. Ito, and T. Ohmi, MRS SPRING MEETING, 2009/04/13, Without Invitation, English, Material Research Society, San Francisco
  197. A Test Structure for Statistical Evaluation of Characteristics Variability in a Very Large Number of MOSFETs, S. Watabe, S. Sugawa, K. Abe, T. Fujisawa, N. Miyamoto, A. Teramoto, and T. Ohmi, IEEE International Conference on Microelectronic Test Structures, 2009/03/30, Without Invitation, English, IEEE, Oxnard
  198. Advanced Method for Measuring Ultra-Low Contact Resistivity Between Silicide and Silicon Based on Cross Bridge Kelvin Resistor, T. Isogai, H. Tanaka, A. Teramoto, T. Goto, S. Sugawa, and T. Ohmi, IEEE International Conference on Microelectronic Test Structures, 2009/03/30, Without Invitation, English, IEEE, Oxnard
  199. Accurate Time Constant of Random Telegraph Signal Extracted by a Sufficient Long Time Measurement in Very Large-Scale Array TEG, T. Fujisawa, K. Abe, S. Watabe, N. Miyamoto, A. Teramoto, S. Sugawa, and T. Ohmi, IEEE International Conference on Microelectronic Test Structures, 2009/03/30, Without Invitation, English, IEEE, Oxnard
  200. Micro Crystalline Si1-xGex Deposited by Magnetron Sputtering, A. Hiroe, T. Goto, A. Teramoto, and T. Ohmi, 214th ECS Meeting, 2008/10/12, Without Invitation, English, The Electrochemical Society, Honolulu
  201. Three-Step Room Temperature Cleaning of Bare Silicon Surface for Radical Based Semiconductor Manufacturing, R. Hasebe, A. Teramoto, R. Kuroda, T. Suwa, S. Sugawa, and T. Ohmi, 214th ECS Meeting, 2008/10/12, Without Invitation, English, The Electrochemical Society, Honolulu
  202. Hole Mobility in Si(110) p-MOS Transistors, P. Gaubert, A. Teramoto, T. Ohmi, 214th ECS Meeting, 2008/10/12, Without Invitation, English, The Electrochemical Society, Honolulu
  203. Improved High Temperature Characteristics in Accumulation-mode Fully Depleted SOI MOSFETs on Si(100) and (110) Surfaces, W. Cheng, A. Teramoto, and T. Ohmi, 214th ECS Meeting, 2008/10/12, Without Invitation, English, The Electrochemical Society, Honolulu
  204. Statistical evaluation of characteristics variation and RTS noise of MOSFETs, T. Fujisawa, S. Sugawa, S. Watabe, K. Abe, A. Teramoto, T. Ohmi, IEICE, 2008/10/09, Without Invitation, Japanese, IEICE, Sendai
  205. The data analysis and measurement technique of the atomic force microscopy for the atomically flat silicon surface, M. Konda, A. Teramoto, T. Suwa, R. Kuroda, T. Ohmi, IEICE, 2008/10/09, Without Invitation, Japanese, IEICE, Sendai
  206. Evaluation of Post Cu-CMP Cleaning Chemicals for Non-Porous Ultra Low-k Dielectric Fluorocarbon Film, X. Gu, T. Nemoto, A. Teramoto, T. Itoh, T. Ohmi, IEICE, 2008/10/09, Without Invitation, Japanese, IEICE, Sendai
  207. Investigation of Novel End-Point-Detection for Ta/Cu CMP, Xun Gu, Takenao Nemoto, Ara Philipossian・Yasa Adi Sampurno, Jiang Cheng, Yun Zhuang, Akinobu Teramoto, Takashi Ito, Tadahiro Ohmi, IEICE, 2008/10/09, Without Invitation, Japanese, IEICE, Sendai
  208. Study on Compositional Transition Layers at Gate Dielectrics/Si Interface by using Angle-resolved X-ray Photoelectron Spectroscopy, T. Suwa, T. Aratani, S. Sugawa, E. Ikenaga, J. Ushio, H. Nohira, A. Teramoto, T. Ohmi, T. Hattori, IEICE, 2008/10/09, Without Invitation, Japanese, IEICE, Sendai
  209. Correlation between Stress Induced Leakage Current and Random Telegraph Signal noise, Y. Kumagai, A. Teramoto, T. Fujisawa, S. Watabe, T. Suwa, N. Miyamoto, S. Sugawa, T. Ohmi, IEICE, 2008/10/08, Without Invitation, Japanese, Sendai
  210. CMOSFET Featuring Atomically Flat Gate Insulator Film/Silicon Interface on (100) Orientation Surface, R. Kuroda, A. Teramoto, T. Suwa, Y. Nakao, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2008/09/23, Without Invitation, English, The Japan Society of Applied Physics, Tsukuba
  211. A Study on Very High Performance Novel Balanced FD-SOI CMOSFETs on Si(110) Using Accumulation Mode Device Structure for RF Analog Circuits, W. Cheng, A. Teramoto, C.-F. Tye, R. Kuroda, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2008/09/23, Without Invitation, English, The Japan Society of Applied Physics, Tsukuba
  212. Impact of Tungsten Capping Layer on Yttrium Silicide for Low Resistance Source/Drain Contacts, T. Isogai, H. Tanaka, T. Goto, A. Teramoto, S. Sugawa and T. Ohmi, International Conference on Solid State Devices and Materials, 2008/09/23, Without Invitation, English, The Japan Society of Applied Physics, Tsukuba
  213. Effects of Ion-Bombardment-Assist and High Temperature on Growth of Zinc Oxide Films by Microwave Excited High Density Plasma Enhanced MOCVD, H. Asahara, At. Inokuchi, K. Watanuki1, M. Hirayama, A. Teramoto, and T. Ohmi, International Conference on Solid State Devices and Materials, 2008/09/23, Without Invitation, English, The Japan Society of Applied Physics, Tsukuba
  214. μc-Si1-xGex Deposition on SiO2 by RF Magnetron Sputtering, A. Hiroe, T. Goto, A. Teramoto, and T. Ohmi, International Conference on Solid State Devices and Materials, 2008/09/23, Without Invitation, English, The Japan Society of Applied Physics, Tsukuba
  215. Anomalous RTS Extractions from a Very Large Number of n-MOSFETs using TEG with 0.47 Hz - 3.0 MHz Sampling Frequency, K. Abe, T. Fujisawa, A. Teramoto, S. Watabe, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2008/09/23, Without Invitation, English, The Japan Society of Applied Physics, Tsukuba
  216. Effect of various cleaning solutions and brush scrubber kinematics on the frictional attributes of post copper CMP cleaning process, Y. Zhuang, T. Sun, Y. Sampurno, X. Gu, T. Nemoto, F. Sudatgho, S. N. Theng, A. Philipossian, A. Teramoto, and T. Ohmi, Tthe 9th International Symposium on Ultra Clean Processing of Semiconductor Surfaces, 2008/09/21, Without Invitation, English, IMEC, Bruges
  217. 3-step room temperature wet cleaning process for silicon substrate, R. Hasebe, A. Teramoto, R. Kuroda, T. Suwa, S. Sugawa, and T. Ohmi, The 9th International Symposium on Ultra Clean Processing of Semiconductor Surfaces, 2008/09/21, Without Invitation, English, IMEC, Bruges
  218. Damage-free post-CMP cleaning solution for Low-K fluorocarbon on advanced interconnects, X. Gu, A. Teramoto, T. Nemoto, R. Hasebe, T. Ito, and T. Ohmi, The 9th International Symposium on Ultra Clean Processing of Semiconductor Surfaces, 2008/09/21, Without Invitation, English, IMEC, Bruges
  219. Atomically flat gate insulator/silicon (100) interface formation introducing high mobility, ultra-low noise, and small characteristics variation CMOSFET, R. Kuroda, A. Teramoto, T. Suwa, R. Hasebe, X. Li, M. Konda, S. Sugawa, and T. Ohmi, 38th European Solid-State Device Research Conference, 2008/09/15, Without Invitation, English, IEEE, Edinburgh
  220. Impact of Performance and Reliability Boosters in Novel FD-SOI CMOS Devices on Si(110) Surface for Analog Applications, W. Cheng, A. Teramoto, R. Kuroda, C.-F. Tye, S. Watabe, S. Sugawa, and T. Ohmi, 29th International Conference on the Physics of Semiconductors, 2008/07/27, Without Invitation, English, The International Unions of Pure and Applied Physics, Rio de Janeiro
  221. The data analysis technique of the atomic force microscopy for the atomically flat silicon surface, M. Konda, A. Teramoto, T. Suwa, R. Kuroda, and T. Ohmi, Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 2008/07/02, Without Invitation, English, The Institute of Electronics, Information and Communication Engineers The Institute of Electronics and Information Engineers, Sapporo
  222. A Material of Semiconductor Package with Low Dielectric Constant, Low Dielectric Loss and Flat Surface for High Frequency and Low Power Propagation, H. Imai, M. Sugimura, M. Kawasaki, A. Teramoto, S. Sugawa, and T. Ohmi, Asia-Pacific Workshop on Fundamentals and Applications of Advanced Semiconductor Devices, 2008/07/02, Without Invitation, English, The Institute of Electronics, Information and Communication Engineers The Institute of Electronics and Information Engineers, Sapporo
  223. Stress Induced Leakage Current and Random Telegraph Signal, A. Teramoto, Y. Kumagai, K. Abe, T. Fujisawa, S. Watabe, T. Suwa, N. Miyamoto, S. Sugawa, and T. Ohmi, 15th Workshop on Dielectrics in Microelectronics, 2008/06/20, Without Invitation, English, Innovations for High Performance Microelectronics, Berlin
  224. Different mechanism to explain the 1/f noise in n-and p-SOI-MOS transistors fabricated on (110) and (100) silicon oriented wafers, P. Gaubert, A. Teramoto, W. Cheng, T. Hamada, and T. Ohmi, 5th Workshop on Dielectrics in Microelectronics, 2008/06/20, Without Invitation, English, Innovations for High Performance Microelectronics, Berlin
  225. A new approach to realize high performance RF power FETs on Si(110) surface, W. Cheng, A. Teramoto, and T. Ohmi, IEEE Power Electronics Specialists Conference, 2008/06/15, Without Invitation, English, IEEE, Rohdes
  226. New insulation material with flat-surface, low coefficient of thermal expansion, low-dielectric-loss for next generation semiconductor packages, M. Sugimura, H. Imai, M. Kawasaki, K. Kamata, K. Fujii, Y. Fujito, S. Yonehara, A. Teramoto, S. Sugawa, and T. Ohmi, Electronic Components and Technology Conference, 2008/05/27, Without Invitation, English, IEEE, Lake Buena Vista
  227. Impact of New Approach to Improve RF Power FETs Performance on Si(110) Surface, W. Cheng, A. Teramoto, and T. Ohmi, 213th ECS Meeting, 2008/05/18, Without Invitation, English, The Electrochemical Society, Phoenix
  228. Statistical evaluation for anomalous SILC of tunnel oxide using integrated array TEG, Y. Kumagai, A. Teramoto, S. Sugawa, T. Suwa, and T. Ohmi, IEEE International Reliability Physics Symposium, 2008/04/27, Without Invitation, English, IEEE, Phoenix
  229. International Semiconductor Technology Conference, T. Nemoto, G. Xun, H. Imai, A. Teramoto, T. Ito, S. Sugawa, and T. Ohmi, MRS Spring Meeting, 2008/03/24, Without Invitation, English, Material Research Society, San Francisco
  230. Characterization of MOSFETs intrinsic performance using in-wafer advanced Kelvin-contact device structure for high performance CMOS LSIs, R. Kuroda, A. Teramoto, T. Komuro, C. Weitao, S. Watabe, T. Ching Foa, S. Sugawa, and T. Ohmi, IEEE International Conference on Microelectronic Test Structures, 2008/03/24, Without Invitation, English, IEEE, Edinburgh
  231. High Performance Bottom Gate μc-Si TFT Fabricated by Microwave Plasma CVD, A. Hiroe, A. Teramoto, and T. Ohmi, MRS Symposium, 2008/03/24, Without Invitation, English, Material Research Society, San Francisco
  232. The Cleaning Method Which is Able to Keep the Smoothness of Si (100), X. Li, X. Gu, A. Teramoto, R. Kuroda, R. Hasebe, T. Suwa, N. Yu, S. Sugawa, T. Ito and T. Ohmi, International Semiconductor Technology Conference 2008, 2008/03/15, Without Invitation, English, The Institute of Scientific and Technical Communicators, Shanghai
  233. Atomically Flat Gate Insulator/Silicon (100) Interface Formation Technology for High Performance LSI, A. Teramoto, R. Kuroda, T. Suwa and T. Ohmi, WPI & IFCAM Joint Workshop -Challenge of Interdisciplinary Materials Science to Technological Innovation of the 21st Century, 2008/02, Without Invitation, English, Tohoku University, Sendai
  234. High performance Bottom Gate μc-Si TFT Fabricated by Low Damage, High Density Plasma Source, A. Hiroe, M. Hirayama, Y. Shirai, A. Teramoto and T.Ohmi, The 14th International Display Workshops, 2007/12/05, Without Invitation, English, The Institute of Image Information and Television Engineers The Society for Information Display, Sapporo
  235. Development of a high efficiency PFC abatement system utilizing plasma and Ca(OH)2/CaO under a decompression atmosphere, K. Suzuki, Y. Ishihara, K. Sakoda, Y. Shirai, M. Hirayama, A. Teramoto, T. Ohmi, and T. Watanabe, International Symposium on Semiconductor Manufacturing, 2007/10/15, Without Invitation, English, IEEE, Santa Clara
  236. Development of a Xenon recycling and supply system for plasma process, M. Yamawaki, T. Urakami, Y. Ishihara, Y. Shirai, A. Teramoto, and T. Ohmi, International Symposium on Semiconductor Manufacturing, 2007/10/15, Without Invitation, English, IEEE, Santa Clara
  237. Performance Boost Using a New Device Structure Design for SOI MOSFETs Beyond 25nm Node, W. Cheng, A. Teramoto, and T. Ohmiu, 212th ECS Meeting, 2007/10/07, Without Invitation, English, The Electrochemical Society, Washington D.C.
  238. High Mobility Bottom Gate Microcrystalline Si TFT Fabricated by Microwave Plasma CVD, A. Hiroe, A. Teramoto, T. Ohmi, IEICE, 2007/10/04, Without Invitation, Japanese, IEICE, Sendai
  239. Statistical Evaluation of Characteristics Variability caused by Plasma Processes, S. Watabe, S. Sugawa, K. Abe, T. Fujisawa, N. Miyamoto, A. Teramoto, T. Ohmi, IEICE, 2007/10/04, Without Invitation, Japanese, Sendai
  240. Nitrogen Profile Study for SiON Gate Dielectrics of Advanced DRAM, Shigemi Murakawa, Masashi Takeuchi, Minoru Honda, Shu-ichi Ishizuka, Toshio Nakanishi, Yoshihiro Hirota, Takuya Sugawara, Yoshitsugu Tanaka, Yasushi Akasaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi, IEICE, 2007/10/04, Without Invitation, Japanese, IEICE, Sendai
  241. The Evaluation of New Amorphous Hydrocarbon Film aCHx, for Low-k Copper Barrier Film, H. Ishikawa, T. Nozawa, T. Matsuoka, A. Teramoto, M. Hirayama, T. Ito, T, Ohmi, IEICE, 2007/10/04, Without Invitation, Japanese, IEICE, Sendai
  242. Atomic order flatting technology of silicon surface, Tomoyuki Suwa, Rihito Kuroda, Akinobu Teramoto, Tadahiro Ohmi, IEICE, 2007/10/04, Without Invitation, Japanese, IEICE, Sendai
  243. Statistical Evaluation of Random Telegraph Signal Using a Very Large-scale Array TEG, Kennichi Abe, Shigetoshi Sugawa, Rihito Kuroda, Shunnichi Watabe, Akinobu Teramoto, Tadahiro Ohmi, IEICE, 2007/10/04, Without Invitation, Japanese, IEICE, Sendai
  244. Modeling and Implementation of Subthreshold Characteristics of Accumulation-Mode MOSFETs for Various SOI Layer Thickness and Impurity Concentrations, R. Kuroda, A. Teramoto, W. Cheng, S. Sugawa, and T. Ohmi, IEEE International SOI Conference, 2007/10/01, Without Invitation, English, IEEE, Indian Wells
  245. Performance Comparison of Ultra-thin FD-SOI Inversion-, Intrinsic-and Accumulation- Mode MOSFETs, R. Kuroda, A. Teramoto, S. Sugawa and T. Ohmi, International Conference on Solid State Devices and Materials, 2007/09/18, Without Invitation, English, The Japan Society of Applied Physics, Tsukuba
  246. he Evaluation of New Amorphous Hydrocarbon Film aCHx, for Copper Barrier Dielectric Film in Low-k Copper Metallization, H. Ishikawa, T. Nozawa, T. Matsuoka, A. Teramoto, M. Hirayama, T. Ito and T. Ohmi, International Conference on Solid State Devices and Materials, 2007/09/18, Without Invitation, English, The Japan Society of Applied Physics, Tsukuba
  247. Low Dielectric Constant Non- Porous Fluorocarbon Films for Inter-Layer Dielectric, A. Itoh, A. Inokuchi, S. Yasuda, A. Teramoto, T. Goto, M. Hirayama and T. Ohmi, International Conference on Solid State Devices and Materials, 2007/09/18, Without Invitation, English, The Japan Society of Applied Physics, Tsukuba
  248. Low Contract Resistance with Low Schottky Barrier for N-Type Silicon Using Yttrium Silicide, T. Isogai, H. Tanaka, T. Goto, A. Teramoto, S. Sugawa and T. Ohmi, International Conference on Solid State Devices and Materials, 2007/09/18, Without Invitation, English, The Japan Society of Applied Physics, Tsukuba
  249. Characterization of Zinc Oxide Films Grown by a Newly Developed Plasma Enhanced MOCVD Employing Microwave Excited High Destiny Plasma, H. Asahara, A. Inokuchi, K.Watanuki, M. Hirayama, A. Teramoto and T. Ohmi, International Conference on Solid State Devices and Materials, 2007/09/18, Without Invitation, English, The Japan Society of Applied Physics, Tsukuba
  250. Statistical Analysis of RTS Noise and Low Frequency Noise in 1M MOSFETs Using an Advanced TEG, K. Abe, S. Sugawa, S. Watabe, N. Miyamoto, A. Teramoto, M. Toita, Y. Kamata, K. Shibusawa, and T. Ohmi, 9th International Conference on Noise and Fluctuations, 2007/09/09, Without Invitation, English, IEEE, Tokyo
  251. Impact of the channel direction on the 1/f noise in SOI -MOSFETs fabricated on (100) and (110) silicon oriented wafers, P. Gaubert, W. Cheng, A. Teramoto and T. Ohmi, 19th International Conference on NOISE AND FLUCTUATIONS-ICNF2007, 2007/09/09, Without Invitation, English, IEEE, Tokyo
  252. 13.56 and 100 MHz Coupled Mode Rf-Sputtering for Ferroelectric Sr2(Ta1-x,Nbx)2O7 (STN) Film Applied to One-Transistor-Type Ferroelectric Random Access Memory, I. Takahashi, T. Shinohara, A. Teramoto, M. Hirayama, S. Sugawa, and T. Ohmi, 11th European Meeting on Ferroelectricity, 2007/09/03, Without Invitation, English, Bled
  253. Microwave-Excited Plasma Enhanced Metal-Organic Chemical Vapor Deposition with Ion-Bombardment-Assistance for Forming Ferroelectric Sr2(Ta1-x,Nbx)2O7 (STN) Thin Film, I. Takahashi, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Electroceramics , 2007/07, Without Invitation, English, Arusha
  254. Random Telegraph Signal Statistical Analysis using a Very Large-scale Array TEG with 1M MOSFETs, K. Abe, S. Sugawa, S. Watabe, N. Miyamoto, A. Teramoto, Y. Kamata, K. Shibusawa, M. Toita, and T. Ohmi, IEEE Symposium on VLSI Technology, 2007/06/12, Without Invitation, English, The Japan Society of Applied Physics IEEE, Kyoto
  255. Study on subnitride and valence band offset at Si3N4 / Si interface formed using nitrogen radicals, Akinobu Teramoto, Takashi Aratani, Masaaki Higuchi, Eiji Ikenaga, Hiroshi Nohira, Shigetoshi Sugawa, Tadahiro Ohmi, Takeo Hattori, 2007/06/08, Without Invitation, Japanese, IEICE, Higashi Hiroshima
  256. Statistical Evaluation of Localized Low Gate Current through Tunnel Dielectric using Integrated Array TEG, Yuki Kumagai, A.kinobu Teramoto, Shigetoshi Sugawa, Tomoyuki Suwa, Tadahiro Ohmi, IEICE, 2007/06/07, Without Invitation, Japanese, IEICE, Higashihiroshima
  257. Analysis of Source Follower Random Telegraph Signal Using nMOS and pMOS Array TEG, K. Abe, S. Sugawa, R. Kuroda, S. Watabe, N. Miyamoto, A. Teramoto, T. Ohmi, Y. Kamata, and K. Shibusawa, International Image Sensor Workshop, 2007/06/07, Without Invitation, English, IEEE Electron Devices Society Institute of Television Engineers of Japan (ITEJ) Jet Propulsion Laboratory Siimpel Corporation Walter Kosonocky Award, Ogunquit
  258. Fabrication of Pt/Sr2(Ta1-x,Nbx)2O7/SiO2/Si Field-Effect Transistor for One-Transistor-Type Ferroelectric Random Access Memory, I. Takahashi, K. Azumi, Y. Shirai, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, 6th WSEAS International Conference on MICROELECTRONICS, NANOELECTRONICS, OPTOELECTRONICS, 2007/05/27, Without Invitation, English, World Scientific and Engineering Academy and Society, Istanbul
  259. Hot Carrier Instability Mechanism in Accumulation-Mode Normally-off SOI nMOSFETs and Their Reliability Advantage, R. Kuroda, A. Teramoto, W. Cheng, S. Sugawa and T. Ohmi, 211th Meeting of The Electrochemical Society, 2007/05/06, Without Invitation, English, The Electrochemical Society, Chicago
  260. Impact of Improved Mobilities and Suppressed 1/f Noise in Fully Depleted SOI MOSFETs Fabricated on Si(110) Surface, W. Cheng, A. Teramoto, C. Tye, P. Gaubert, M. Hirayama, S. Sugawa and T. Ohmi, 211th Meeting of The Electrochemical Society, 2007/05/06, Without Invitation, English, The Electrochemical Society, Chicago
  261. NBTI Mechanism Based on Hole-Injection for Accurate Lifetime Prediction, A. Teramoto, R. Kuroda, and T. Ohmi, 211th Meeting of The Electrochemical Society, 2007/05/06, With Invitation, English, The Electrochemical Society, Chicago
  262. Formation of Ferroelectric Sr2(Ta1-x,Nbx)2O7 Film (STN) on SiON formed by microwave-excited plasma and (Ba1-x,Srx)TiO3(BST) by rf sputtering applied to One-Transistor-Type Ferroelectric Memory Device, I.Takahashi, T. Suwa, K. Azumi, T. Isogai, Y. Shirai, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, The 19th International Symposium of Integrated Ferroelectrics, 2007/05, Without Invitation, English, Bordeaux
  263. High Performance and highly reliable novel CMOS devices using accumulation mode multi-gate and fully depleted SOI MOSFETs, W. Cheng, A. Teramoto, R. Kuroda, M. Hirayama, and T. Ohmi, The 15th Biennial Conference on Insulating Films on Semiconductors, 2007/03/24, Without Invitation, English, Athens
  264. Development of Microwave-Excited Plasma Enhanced Metal-Organic Chemical Vapor deposition System and Formation of Ferroelectric Sr2(Ta1-x,Nbx)2O7 Film, Ichirou Takahashi, Kiyoshi Funawa, Keita Azumi, Satoru Yamashita, Yasuyuki Shirai, Masaki Hirayama, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi, IEICE, 2007/03/15, Without Invitation, Japanese, IEICE, Tokyo
  265. Preperation and Electrical Properties of Ultrathin Stacked Si3N4/High-k Dielectric Pr3Si6N11 Films grown in Radical Reaction Based MOCVD Systems, H. Wakamatsu, I. Takahashi, A. Teramoto, and T. Ohmi, The Joint International Conference of 4th International Symposium on System Construction of Global-Network-Oriented Ioformation Electronics and Student-Organizing International Mini-Conference on Ioformation Electronics System, 2007/01, Without Invitation, English, Tohoku University, Sendai
  266. High Quality Gate Insulator Film Formation on SiC at Low Temperature, Koutaro Tnaka, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi, IEICE, 2006/12/14, Without Invitation, Japanese, IEICE, Kyoto
  267. Electric and interface characteristics of Si3N4 films formed by directly radical NH on Si (110) and Si (100) surfaces, M. Higuchi, T. Suwa, T. Aratani, T. Hamada, A. Teramoto, T. Hattori, S. Sugawa, T. Ohmi, S. Shinagawa, H. Nohira, and E. Ikenaga, 7th IEEE Semiconductor Interface Specialists Conference, 2006/12/07, Without Invitation, English, IEEE, San Diego
  268. Revolutional Progress of Silicon Technologies Exhibiting Very High Speed Performance Over 50 GHz Clock Rate, T. Ohmi, A. Teramoto, R. Kuroda, and N. Miyamoto, The 6th Taiwan-Japan Microelectronics International Symposium, 2006/11/01, With Invitation, English, National Chiao Tung University, Hsinchu
  269. Radical Oxidation on Ultra Pure Silicon Surface, K. Kawase, M. Higuchi, T. Suwa, H. Umeda, M. Inoue, A. Teramoto, T. Hattori, S. Sugawa, and T. Ohmi, 210th ECS Meeting, 2006/10/29, Without Invitation, English, The Electrochemical Society, Mexico
  270. Impact of improved mobility and low flicker noise MOS transistors using accumulation mode fully depleted silicon-on-insulator devices, W. Cheng, A. Teramoto, P. Gaubert, M. Hirayama, and T. Ohmi, International Conference on Solid-State and Integrated Circuit Technology, 2006/10/23, Without Invitation, English, IEEE, Shanghai
  271. Consecutive uniform etching process in a single chamber using the microwave-excited plasma etcher with RLSA, Kazuyuki Ikenaga, Tetsuya Goto, Akinobu Teramoto, Masaki Hirayama, Toshihisa Nozawa, Tadahiro Ohmi, IEICE, 2006/10/05, Without Invitation, Japanese, IEICE, Sendai
  272. Very Low Bit Error Rate in Flash Memory Using Tunnel Dielectrics Formed by Kr/O_2/NO Plasma Oxynitridation, Tomoyuki Suwa, Yuki Kumagai, Akinobu Teramoto, Shigetoshi Sugawa, Tadahiro Ohmi, IEICE, 2006/10/05, Without Invitation, Japanese, IEICE, Sendai
  273. Electrical Characteristics and Interface Structure of Ultra-thin Si_3N_4 films on Si (100) and Si (110), T. Aratani, M. Higuchi, T. Hamada, A. Teramoto, T. Hattori, S. Sugawa, T. Ohmi, S. Shinagawa, H. Nohira, E. Ikenaga, IEICE, 2006/10/05, Without Invitation, Japanese, IEICE, Sendai
  274. A Study on Statistical Evaluation Method for the Variation of MOSFETs, K. Abe, S. Watabe, S. Sugawa, A. Teramoto, T. Ohmi, IEICE, 2006/10/05, Without Invitation, Japanese, IEICE, Sendai
  275. A New Statistical Evaluation Method for the Variation of MOSFETs, S. Watabe, S. Sugawa, A. Teramoto, and T. Ohmi, International Conference on Solid State Devices and Materials, 2006/09/12, Without Invitation, English, The Japan Society of Applied Physics, Yokohama
  276. Technology of Ferroelectric Thin Film Formation with Large Coercive Field for Future Scaling Down of Ferroelectric Gate FET Memory Device, I. Takahashi, T. Isogai, K. Azumi, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2006/09/12, Without Invitation, English, The Japan Society of Applied Physics, Yokohama
  277. Formation of Ferroelectric Sr2(Ta1-x,Nbx)2O7 Thin Film on Amorphous SiO2 by Microwave-Excited Plasma Enhanced Metalorganic Chemical Vapor Deposition, I. Takahashi, K. Funaiwa, S. K. Azumi, Yamashita, Y. Shirai, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2006/09/12, Without Invitation, English, The Japan Society of Applied Physics, Yokohama
  278. Very Low Bit Error Rate in Flash Memory using Tunnel Dielectrics formed by Kr/O2/NO Plasma Oxynitridation, T. Suwa, H. Takahashi, Y. Kumagai, G. Fujita, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2006/09/12, Without Invitation, English, The Japan Society of Applied Physics, Yokohama
  279. Low Leakage Current and Low Resistivity p+n Diodes on Si(110) Fabricated by Ga+/B+ Combination I/I and Low Temperature Annealing, H. Imai, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2006/09/12, Without Invitation, English, The Japan Society of Applied Physics, Yokohama
  280. Electric characteristics of Si3N4 films formed by directly radical nitridation on Si (110) and Si (100) surfaces, M. Higuchi, T. Aratani, T. Hamada, A. Teramoto, T. Hattori, S. Sugawa, T. Ohmi, S. Shinagawa, H. Nohira, E. Ikenaga, and K. Kobayashi, International Conference on Solid State Devices and Materials, 2006/09/12, Without Invitation, English, The Japan Society of Applied Physics, Yokohama
  281. Formation of Metal-Ferroelectric-Insulator-Si Structure Device with Large Memory Window by Supplying Ion Bombardment Energy in Rf-Sputtering Plasma, I. Takahashi, T. Isogai, K. Azumi, A. Teramoto, S. Sugawa, and T. Ohmi, 15th International Symposium on the Applications of Ferroelectrics, 2006/07/30, Without Invitation, English, IEEE, Sunset Beach
  282. Accurate Extraction of Conduction Parameters in MOSFETs on Si(110) surface, P. Gaubert, A. Teramoto, T. Hamada, T. Suwa, and T. Ohmi, 8th International Conference on the Physics of Semiconductors,, 2006/07/24, Without Invitation, English, IEEE, Shanghai
  283. The Dependence of Remaining Carbon in the Electrical Property of the Gate Insulator Film on SiC at Low Temperature Insulator Formation, K. Tanaka, H. Tanaka, A. Teramoto, S. Sugawa, and T. Ohmi, Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices, 2006/07, Without Invitation, English, IEICE The Institute of Electronics and Information Engineers (IEIE) of Korea, Sendai
  284. The dependence of the intermediate nitridation states density at Si3N4/Si interface on surface Si atoms density, M. Higuchi, S. Shinagawa, A. Teramoto, H. Nohira, T. Hattori, E. Ikenaga, S. Sugawa, and T. Ohmi, Asia-Pacific Workshop on Fundamental and Application of Advanced Semiconductor Devices, 2006/07, Without Invitation, English, IEICE The Institute of Electronics and Information Engineers (IEIE) of Korea, Sendai
  285. High Quality Gate Insulator Film Formation on SiC using by Microwave-Excited High-Density Plasma, K. Tanaka, H. Tanaka, A. Teramoto, S. Sugawa, and T. Ohmi, 14th Workshop on Dielectrics in Microelectronics, 2006/06/26, Without Invitation, English, CNR Institute for Microelectronics and Microsystems, Catania
  286. Accurate Circuit Performance Prediction Model and Lifetime Prediction Method of NBT Stressed Devices for Highly Reliable ULSI Circuits, R. Kuroda, K. Watanabe, A. Teramoto, M. Mifuji, T. Yamaha, S. Sugawa, and T. Ohmi, IEEE International Conference on Integrated Circuit Design and Technology, 2006/05/24, With Invitation, English, IEEE, Padova
  287. Accurate circuit performance prediction model and lifetime prediction method of nbt stressed devices for highly reliable ulsi circuits, R. Kuroda, K. Watanabe, A. Teramoto, M. Mifuji, T. Yamaha, S. Sugawa, and T. Ohmi, International Electron Devices Meeting, 2005/12/05, Without Invitation, English, IEEE, Washington D. C.
  288. Statistical evaluation of very low gate leakage current for bit error evaluation in Flash Memory, T. Suwa, S. Sugawa, H. Takahashi, A. Teramoto, and T. Ohmi, he 16th Symposium of The Materials Research Society of Japan, 2005/12, Without Invitation, English, Material Research Society of Japan, Tokyo
  289. Development of NBTI Lifetime Prediction Method and Evaluation Method using Hole Injection Technique, Akinobu Teramoto, Kazufumi Watanabe, Rihito Kuroda, Michihiko Mifuji, Takahisa Yamaha, Shigetoshi Sugawa, Tadahiro Ohmi, IEICE, 2005/11/25, Without Invitation, Japanese, IEICE, Osaka
  290. Suppression of Surface Micro-Roughness of Silicon Wafer by Addition of Alcohol into Ultra Pure Water for Rinsing Process, M. Yamamoto, K. Nii, H. Morinaga, A. Teramoto, and T. Ohmi, The 208th ECS Meeting, 2005/10/16, Without Invitation, English, The Electrochemical Society, Los Angeles
  291. New NBTI Lifetime Prediction Method for Ultra Thin SiO2 Films, K. Watanabe, R. Kuroda, A. Teramoto, S. Sugawa, and T. Ohmi, The 208th ECS Meeting, 2005/10/16, Without Invitation, English, The Electrochemical Society, Los Angeles
  292. The effect of oxygen concentration in cleaning process, N. Mizutani, H. Morinaga, A. Teramoto, and T. Ohmi, The 208th ECS Meeting, 2005/10/16, Without Invitation, English, The Electrochemical Society, Los Angeles
  293. High resolution X-ray photoelectron spectroscopy study on Si3N4/Si interface structures and its correlation with hysteresis in C-V curves, M. Higuchi, A. Teramoto, M. Komura, S. Shinagawa, E. Ikenaga, H. Nohira, K. Kobayashi, T. Hattori, S. Sugawa, and T. Ohmi, The 208th ECS Meeting, 2005/10/16, Without Invitation, English, The Electrochemical Society, Los Angeles
  294. High resolution X-ray photoelectron spectroscopy study on Si_3N_4/Si interface structures and its correlation electrical properties by NH^* direct nitridation process, M. Higuchi, M. Komura, A. Teramoto, S. Shinagawa, E. Ikenaga, K. Kobayashi, H. Nohira, S. Sugawa, T. Hattori, T. Ohmi, IEICE, 2005/10/06, Without Invitation, Japanese, IEICE, Sendai
  295. EOT Measurement by LC Resonance Method for Ultra Thin Gate Dielectrics, Rihito, Kuroda, Akinobu Teramoto, Masanori Komura, Kazufumi Watanabe, Shigetoshi Sugawa, Tadahiro Ohmi, IEICE, 2005/10/06, Without Invitation, Japanese, IEICE, Sendai
  296. Fabrication of ultra clean silicon surface, K. Kawase, H. Umeda, M. Inoue, T. Suwa, M. Higuchi, A. Teramoto, S. Sugawa, T. Ohmi, IEICE, 2005/10/06, Without Invitation, Japanese, IEICE, Sendai
  297. Suppression of the low frequency noise level in (100) and (110) oriented silicon p-MOSFETs induced by an alkali-free cleaning process, P. Gaubert, A. Teramoto, T. Hamada, M. Yamamoto, and T. Ohmi, IEICE Technical Committee on Silicon Device and Materials, 2005/10/06, Without Invitation, English, IEICE, Sendai
  298. Impact of interface micro-roughness on low frequency noise in (110) and (100) pMOSFETs, P. Gaubert, A. Teramoto, T. Hamada, M. Yamamoto, K. Nii, H. Akahori, K. Kotani, and T. Ohmi, 8th International Conference on Noise and Fluctuations,, 2005/09/19, Without Invitation, English, IEEE, Salamanca
  299. Study of the Metal-Ferroelectric-Insulator-Si Structure Device Formation by Controlling Properties of High Frequency and Microwave Excited Plasma, I. Takahashi, H. Sakurai, T. Isogai, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2005/09/12, Without Invitation, English, The Japan Society of Applied Physics, Kobe
  300. Damage-Free Microwave-Excited Plasma Contact Hole Etching without Carrier Deactivation at the Interface between Silicide and Heavily-Doped Si, T. Goto, M. Terasaki, H. Asahara, H. Nakazawa, A. Inokuchi, J. Yamanaka, A. Teramoto, M. Hirayama, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2005/09/12, Without Invitation, English, The Japan Society of Applied Physics, Kobe
  301. Impact of The Improved High Performance Si(110) Oriented MOSFETs by Using Accumulation-Mode Fully Depleted SOI Devices, W. Cheng, A. Teramoto, M. Hirayama, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2005/09/12, Without Invitation, English, The Japan Society of Applied Physics, Kobe
  302. Geometry and Bias Dependency of Low-Frequency Random Telegraph Signal and 1/F Noise Levels in MOSFETs, M. Toita, L. K. J. Vandamme, S. Sugawa, A. Teramoto, and T. Ohmi, 20th Annual Meeting of Japanese Association for Science, Art and Technology of Fluctuations, 2005/09, Without Invitation, English, Tokyo
  303. Reduction of 1/f noise in Si(110) nad (110) surface MOSFET using a new clearning technology, P. Gaubert, A. Teramoto, K. Kotani, and T. Ohmi, 20th Annual Meeting of Japanese Association for Science, Art and Technology of Fluctuations, 2005/09, Without Invitation, English, Tokyo
  304. Impact of High Performance Accumulation-Mode Fully Depleted SOI MOSFETs, W. Cheng, A. Teramoto, M. Hirayama, S. Sugawa, and T. Ohmi, Asia-Pacific Workshop on fundamentals and Applications of Advanced Semiconductor Devices, 2005/06, Without Invitation, English, The Institute of Electronics, Information and Communication Engineers (IEICE-ES) The Institute of Electronics and Information Engineers (IEIE), Seoul
  305. EOT measurement for ultra-thin gate dielectrics using LC resonance circuit, A. Teramoto, M. Komura, R. Kuroda, K. Watanabe, S. Sugawa, and T. Ohmi, nternational Conference on Microelectronic Test Structures, 2005/04/04, Without Invitation, English, IEEE, Leuven
  306. High Current Drivability MOSFET Fabricated on Si(110) Surface, A. Teramoto and T. Ohmi, MRS Spring Meeting, 2005/03/28, With Invitation, English, Material Research Society, San Francisco
  307. Quantum Chemical Study on Chemical Reactivity of Silicon Surface, K. Chiba, H. Tsuboi, M. Koyama, M. Kubo, K. Nii, A. Teramoto, T. Ohmi, A Miyamoto , IEICE, 2004/10/15, Without Invitation, Japanese, IEICE, Sendai
  308. ontrol of Native Oxide Growth on Silicon Surface, Hiroshi Akahori, Keiichi Nii, Kazumi Tsukamoto, Akinobu Teramoto, Tadahiro Ohmi, IEICE, 2004/10/15, Without Invitation, Japanese, IEICE, Sendai
  309. Control of nitrogen depth profile and chemical bonding state in radical nitrided silicon oxide film, K. Kawase, H. Umeda, M. Inoue, T. Suwa, M. Higuchi, M. Komura, A. Teramoto, T. Ohmi, IEICE, 2004/10/14, Without Invitation, Japanese, IEICE, Sendai
  310. Improvement of Ferroelectric STN Thin Film Properties by Oxygen Radical Treatment, T. Isogai, I. Takahashi, H. Sakurai, T. Goto, M. Hirayama, A. Teramoto, S. Sugawa, T. Ohmi, IEICE, 2004/10/14, Without Invitation, Japanese, IEICE, Sendai
  311. Suppression of Surface Micro-Rouhness on Si(110), K. Nii, M. Yamamoto, A. Teramoto, and T. Ohmi, 206th ECS Meeting, 2004/10/03, Without Invitation, English, The Electrochemical Society, Honolulu
  312. The Thermal Degradation Prevention of Fluorocarbon Material for Interlayer Dielectric Film, A. Hidaka, S. Yamashita, M. Kitano, A. Teramoto, Y. Shirai, and T. Ohmi, 206th ECS Meeting, 2004/10/03, Without Invitation, English, The Electrochemical Society, Honolulu
  313. High Quality Plasma Processing using Microwave Excited Plasma System with Xenon Gas, Y. Shirai, A. Teramoto, M. Hirayama, T. Ohmi, H. Hasegawa, Y. Ishihara, T. Satoh, and M. Yamawaki, International Symposium on Semiconductor Manufacturing, 2004/09/27, Without Invitation, English, IEEE, Tokyo
  314. A Large-Signal MOSFET Model Based on Transient Carrier Response for RF Circuits, K. Watanabe, K. Kotani, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2004/09/14, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  315. MFIS-structure Memory Device with High Quality Ferroelectric Sr2 (Ta1-xNbx)2 O7 Formed by Physical Vapor Deposition and Oxygen Radical Treatment by Oxygen Assisted Layer by Layer(ROALL) deposition, H. Sakurai, I. Takahashi, T. Isogai, K. Funaiwa, T. Tsunoda, T. Goto, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2004/09/14, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  316. Control of nitrogen profile in radical nitridation of SiO2 films, K. Kawase, H. Umeda, M. Inoue, S. Tsujikawa, Y. Akamatsu, A. Teramoto, and T. Ohmi, International Conference on Solid State Devices and Materials, 2004/09/14, Without Invitation, English, The Japan Society of Applied Physics, TOきょ
  317. Accurate Temperature Drift model of MOSFETs Mobility for Analog Circuits, K. Watanabe, T. Hamada, K. Kotani, A. Teramoto, S. Sugawa, and T. Ohmi, Simulation of Semiconductor Processes and Devices, 2004/09/02, Without Invitation, English, IEEE, Munich
  318. XPS study of radical nitrided silicon oxide film, K. Kawase, H. Umeda, M. Inoue, S Tsujikawa, Y. Akamatsu, A. Teramoto, T. Ohmi, IEICE SDM, 2004/06/22, Without Invitation, Japanese, IEICE, Tokyo
  319. Sub-Micron MOSFETs Technology Characterization by Low-Frequency Noise, M. Toita, S. Sugawa, A. Teramoto, and T. Ohmi, 3rd European Microelectronics and Packaging Symposium, 2004/06/16, Without Invitation, English, International Microelectronics and Packaging Society, Prague
  320. High Performance Low Noise CMOS Fabricated on Flattened (110) oriented Si Substrate, T. Hamada, A. Teramoto, H. Akahori, K. Nii, T. Suwa, M. Hirayama, and T. Ohmi, Asia-Pacific Workshop on Fundamentals and Application of Advanced Semiconductor Devices, 2004/06, Without Invitation, English, The Institute of Electronics, Information and Communication Engineers The Institute of Electronics and Information Engineers, Nagasaki
  321. Atomic Order Flattening and Hydrogen Termination of Si(110) Surface , H. Akahori K. Nii A. Teramoto T. Ohmi , 205th ECS Meeting, 2004/05/10, Without Invitation, English, The Electrochemical Society, San Antonio
  322. 1/f noise degradation caused by Fowler-Nordheim tunneling stress in MOSFETs, M. Toita, S. Sugawa, A. Teramoto, T. Akaboshi, H. Imai, and T. Ohmi, IEEE International Reliability Physics Symposium, 2004/03/30, Without Invitation, English, IEEE, Dallas
  323. Low Noise Balanced-CMOS Technology Formed on Si(110) Surface, Akinobu Teramoto, Tatsufumi Hamada, Hiroshi Akahori, Keiichi Nii, Koji Kotani, Tadahiro Ohmi, IEICE SDM, 2004/01/16, With Invitation, Japanese, IEICE, Tokyo
  324. Low Noise Balanced-CMOS on Si(110) Surface for Analog/Digital Mixed Signal Circuits, A. Teramoto, T. Hamada, H. Akahori, K. Nii, T. Suwa, K. Kotani, M. Hirayama, S. Sugawa,T. Ohmi, International Electron Device Metting, 2003/12/08, Without Invitation, IEEE, Washington D.C.
  325. Electrical Characteristics of MOSFET on Flattened (110) oriented Si substrate, Tatsfumi Hamada, Hiroshi Akahori, Keiichi Nii, Tomoyuki Suwa, Masaki Hirayama, Akinobu Teramoto, Tadahiro Ohmi, IEICE SDM, 2003/10/21, Without Invitation, Japanese, IEICE, Sendai
  326. Flattening of Silicon(11O) surface, Hiroshi Akahori, Keiichi Nii, Akinobu Teramoto, Masaki Hirayama, Tadahiro Ohmi, IEICE SDM, 2003/10/21, Without Invitation, Japanese, IEICE, Sendai
  327. A Hydrogen Termination Process on Silicon Surface as investigated by Computational Chemistry, H. Iga, K. Chiba, S. Uchida, Y. Sasaki, R. Endou, K. Sugawara, A. Chida, J. Matsuda, N. Isoda, K. Sasada, T. Yokosuka, A. Endou, M. Kubo, A. Imamura, K. Nii, A. Teramoto, T. Ohmi, A. Miyamoto, IEICE SDM, 2003/10/21, Without Invitation, Japanese, IEICE, Sendai
  328. High Performance Poly-Si TFT with Thin Gate Oxide Film Grown by Oxygen Radical, K. Ishii, F. Imaizumi, T. Hayashi, A. Teramoto, M. Hirayama, S. Sugawa, T. Ohmi, IEICE SDM, 2003/10/20, Without Invitation, Japanese, IEICE, Sendai
  329. Very High Reliability of Ultrathin Silicon Nitride Gate Dielectric Film for sub-1OOnm Generation, M. Komura, M. Higuchi, W. Cheng, I Ohshima, A. Teramoto, M. Hirayama, S. Sugawa, T.Ohmi, IEICE SDM, 2003/10/20, With Invitation, Japanese, IEICE, Sendai
  330. High Quality Silicon Nitride Film Formed by Microwave-Excited Plasma Enhanced Chemical Vapor Deposition with Dual Gas Shower Head, H. Tanaka, C. Zhong, Y. Hayakawa, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2003/09/16, Without Invitation, Japanese, The Japan Society of Applied Physics, Tokyo
  331. A Low Dielectric Constant Sr2(Ta1-x,Nbx)2O7 Thin Film Controlling the Crystal Orientation on IrO2 Substrate for One Transistor Type Ferroelectric Memory Device, I. Takahashi, H. Sakurai, A. Yamada, T. Goto, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2003/09/16, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  332. Very High Reliability of Ultrathin Silicon Nitride Gate Dielectric Film for Sub-100nm Generation, M. Komura, M. Higuchi, W. Cheng, I. Ohshima, A. Teramoto, M. Hirayama, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2003/09/16, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  333. High Performance Poly-Si Device with Thin Gate Oxide Film Grown by Plasma Oxidation Technology, F. Imaizumi, T. Hayashi, K. Ishii, A. Teramoto, M. Hirayama, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2003/09/16, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  334. High-Speed Damage-Free Contact Hole Etching using Dual Shower Head Microwave-Excited High-Density Plasma Equipment, T. Goto, H. Yamauchi, T. Kato, A. Teramoto, M. Hirayama, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2003/09/16, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  335. Atomic Order Flattening of Hydrogen-Terminated Si(110) substrate For Next Generation ULSI Devices, H. Akahori, K. Nii, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2003/09/16, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  336. Very High Integrity Thin Film Formations at Very Low Temperatures, T. Ohmi, A. Teramoto, H. Tanaka, and M. Hirayama, MRS Spring Meeting, 2003/04/24, With Invitation, English, Material Research Society, San Francisco
  337. Influence of the noble gas atom contained in the plasma oxides and nitrides on the electrical properties, M. Higuchi, T. Suwa, I OhshimaW. Cheng, A. Teramoto, M. Hirayama, S. Sugawa, T. Ohmi, IEICE SDM, 2002/10/28, Without Invitation, Japanese, IEICE, Sendai
  338. Reduction Effect of Flicker Noise by Atomic Scale Flattening Silicon Surface, K. Tanaka, K. Watanabe, H. Ishino, S. Sugawa, A. Teramoto, M. Hirayama, T. Ohmi, IEICE SDM, 2002/10/28, Without Invitation, Japanese, IEICE, Sendai
  339. Oxygen Radical Annealing Applied to Ferroelectric Thin Films, I. Takahashi, H. Sakurai, A. Yamada, K. Funaiwa, K. Hirai, S. Urabe, T. Goto, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, Fourth International Symposium on Control of Semiconductor Interfaces, 2002/10, Without Invitation, English, Japan Society for the Promotion of Science, Karuizawa
  340. Highly Reliable Silicon Nitride Gate Dielectrics Grown at Low Temperature by Microwave-Excited High-Density Plasma, I. Ohshima, W. Cheng, M. Hirayama, A. Teramoto, H. Shimada, Y. Ono, S. Sugawa, and T. Ohmi, Fourth International Symposium on Control of Semiconductor Interfaces, 2002/10, Without Invitation, English, Japan Society for the Promotion of Science, Karuizawa
  341. A Ferroelectric Sr2(Ta1-x, Nbx)2O7 with a Low Dielectric Constant by Plasma PVD and Oxygen Radical , I. Takahashi, H. Sakurai, A. Yamada, K. Funaiwa, K. Hirai, S. Urabe, T. Goto, M. Hirayama, A. Teramoto, S. Sugawa, and T. Ohmi, International Conference on Solid State Devices and Materials, 2002/09/17, Without Invitation, English, The Japan Society of Applied Physics, Nagoya
  342. A Technology of Reducing Flicker Noise for ULSI Applications, K. Tanaka, K. Watanabe, H. Ishino, S. Sugawa, A. Teramoto, M. Hirayama, and T. Ohmi, International Conference on Solid State Devices and Materials, 2002/09/17, Without Invitation, English, The Japan Society of Applied Physics, Nagoya
  343. Improving Reliability of Ultra Thin Silicon Nitride Gate Dielectric Film Directly Grown at Low Temperature by Microwave-Excited High-Density Plasma, W. Cheng, I. Ohshima, H. Shimada, Y. Ono, M. Hirayama, A. Teramoto, S. Sugawa, T. Ohmi, IEICE SDM, 2002/06/20, Without Invitation, Japanese, IEICE, Higashihiroshima
  344. Influence of Organic Contamination on Electrical Property of Gate Oxides, M. Inoue, T. Takahashi, A. Teramoto, Y. Horie, T. Kaneoka, Y. Ohno, E. Hara, J. Kobayashi, IEICE SDM, 2001/10/09, Without Invitation, Japanese, IEICE, Sendai
  345. Saturation Phenomenon of Stress-Induced Gate Leakage Current, S. Ueno, T. Kuroi, A. Teramoto, H. Umeda, T. Eimori, and Y. Inoue, International Conference on Solid State Devices and Materials, 2001/09/26, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  346. Oxide thickness dependence of nitridation effects on TDDB characteristics, M. K. Mazumder, A. Teramoto, J. Komori, and Y. Mashiko, International Conference on Microelectronic Test Structures, 2001/03/19, Without Invitation, English, IEEE, Kobe
  347. 80 nm CMOSFET technology using double offset-implanted source/drain extension and low temperature SiN process, H. Sayama, Y. Nishida, H. Oda, J. Tsuchimoto, H. Umeda, A. Teramoto, K. Eikyu, Y. Inoue, and M. Inuishi, International Electron Devices Meeting, 2000/12/10, Without Invitation, English, IEEE, San Francisco
  348. Breakdown Mechanism of Thin Gate Oxide Films, A. Teramoto, M. Inoue, H. Umeda, Y. Ohno, A. Nishimoto, IEICE SDM, 2000/10/19, Without Invitation, Japanese, IEICE, Sendai
  349. Extraction of the physical oxide thickness using the electrical characteristics of MOS capacitors, K. Eikyu, H. Takashino, M. Kidera, A. Teramoto, H. Umeda, K. Ishikawa, N. Kotani, and M. Inuishi, International Conference on Simulation of Semiconductor Processes and Devices, 2000/09/06, Without Invitation, English, IEEE, Seattle
  350. Electric Characteristics of Gate Dielectrics formed by using an RTA, H. Umeda, A. Teramoto, Y. Ohno, A. Shigetomi, IEICE SDM, 1999/09/28, Without Invitation, Japanese, IEICE, Sendai
  351. Electric-Field Dependence of Gate Oxide Life Time, M. Inoue, A. Teramoto, H. Umeda, Y. Ohno, A. Shigetomi, IEICE SDM, 1999/09/28, Without Invitation, Japanese, IEICE, Sendai
  352. Study of oxide breakdown under very low electric field, A. Teramoto, H. Umeda, K. Azamawari, K. Kobayashi, K. Shiga, J. Komori, Y. Ohno, and H. Miyoshi, IEEE International Reliability Physics Symposium, 1999/03/23, Without Invitation, English, IEEE, San Diego
  353. Characterization of Extrinsic Oxide Breakdown on Thin Dielectric Oxide, K. Shiga, J. Komori, M. Katsumata, A. Teramoto, Y. Mashiko, IEICE SDM, 1998/11/20, Without Invitation, Japanese, IEICE
  354. Gate Oxide Formation at Low Temperature using UV-O_2 Oxidation, A. Teramoto, Y. Ohno, H. Miyoshi, IEICE SDM, 1998/07/28, Without Invitation, Japanese, IEICE, Tokyo
  355. A new test structure for evaluation of extrinsic oxide breakdown, K. Shiga, J. Komori, M. Katsumata, A. Teramoto, and M. Sekine, International Conference on Microelectronic Test Structures, 1998/03/20, Without Invitation, English, IEEE, Kanazawa
  356. Degradation of the characteristics of p+ poly MOS capacitors with NO nitrided gate oxide due to post nitrogen annealing, M. K. Mazumder, A. Teramoto, K. Kobayashi, M. Sekine, S. Kawazu, and H. Koyama, IEEE International Integrated Reliability Workshop , 1997/10/13, Without Invitation, English, IEEE, Lake Tahoe
  357. Highly Reliable SiO2 films Formed by UV-O2 Oxidation, A. Teramoto, K. Konayashi, Y. Ohmo, and M. Hirayama, International Conference on Solid State Devices and Materials, 1997/09/16, Without Invitation, English, The Japan Society of Applied Physics, Hamamatsu
  358. High Performance 0.2 mm Dual Gate CMOS by Suppression of Transient-Enhanced-Diffusion Using Rapid Thermal Annealing Technolohies, Y. Nishida, H. sayama, S. Shimizu, T. Kuroi, A. Furukawa, A. Teramoto, T. Uchida, Y. Inoue, and T. Nishimura, International Conference on Solid State Devices and Materials, 1997/09/16, Without Invitation, English, The Japan Society of Applied Physics, Hamamatsu
  359. Temperature dependence of TDDB characteristics of thin SiO2 film for flash memory, M. Katsumata, A. Teramoto, K. Kobayashi, M. K. Mazumder, R. Sekine, and H. Koyama, 6th International Symposium on Physical and Failure Analysis of Integrated Circuits, 1997/07/22, Without Invitation, English, IEEE, Singapore
  360. Channel Profile Control Based On Transient-enhanced-diffusion Suppression By RTA For 0.18 mm Single Gate CMOS, A. Furukawa, A. Teramoto, S. Shimizu, Y. Abe, and Y. Tokuda, Symposium on VLSI Technology,, 1997/06/12, Without Invitation, English, IEEE The Japan Society of Applied Physics, Kyoto
  361. Dopant redistribution during gate oxidation including transient enhanced diffusion in oxidizing ambient, T. Uchida, K. Eikyu, M. Fujinaga, A. Teramoto, and H. Miyoshi, International Electron Devices Meeting, 1996/12/08, Without Invitation, English, IEEE, San Francisco
  362. High reliability of nanometer-range N2O-nitrided oxides due to suppressing hole injection, K. Kobayashi, A. Teramoto, T. Nakamura, H. Watanabe, H. Kurokawa, Y. Matsui, and M. Hirayama, International Electron Devices Meeting, 1996/12/08, Without Invitation, English, IEEE, San Francisco
  363. Effect of N2O or NO annealing of wet oxide at different times on TDDB characteristics, M. K. Mazumder, A. Teramoto, K. Kobayashi, M. Katsumata, Y. Mashiko, M. Sekine, H. Koyama, and A. Yasuoka, IEEE International Integrated Reliability Workshop, 1996/10/20, Without Invitation, English, IEEE, Lake Tahoe
  364. Sub-quarter-micron dual gate CMOSFETs with ultra-thin gate oxide of 2 nm, T. Kuroi, S. Shimizu, S. Ogino, A. Teramoto, M. Shirahata, Y. Okumura, M. Inuishi, and H. Miyoshi, Symposium on VLSI Technology, 1996/06/13, Without Invitation, English, IEEE The Japan Society of Applied Physics, Honolulu
  365. Excess currents induced by hot-hole injection and F-N stress in thin SiO2 films, A. Teramoto, K. Kobayashi, Y. Matsui, M. Hirayama, and A. Yasuoka, IEEE International Reliability Physics Symposium, 1996/04/30, Without Invitation, English, IEEE, Dallas
  366. Clarification of Nitridation Effect on Oxinitridation Methods, T. Kuroi, S. Shirahata, Y. Okumura, S. Shimizu, A. Teramoto, M. Anma, M. Inuishi, and T. Hirao, International Conference on Solid State Devices and Materials, 1995/08/21, Without Invitation, English, The Japan Society of Applied Physics, Osaka
  367. Electron traps and excess current induced by hot-hole injection into thin SiO2 films, K. Kobayashi, A. Teramoto, and M. Hirayama, International Reliability Physics Symposium , 1995/04/04, Without Invitation, English, IEEE, Las Vegas
  368. Area and thickness dependence of the TDDB characteristics of silicon dioxides, A. Teramoto, K. Kobayashi, M. Hirayama, IEICE SDM, 1994/07/25, Without Invitation, Japanese, IEICE
  369. Pre-oxide-Controlled Oxidation for Very Thin Gate Oxide, K. Makihara, A. Teramoto, K. Nakamura, M. Morita, and T. Ohmi, International Conference on Solid State Devices and Materials, 1992/08/26, Without Invitation, English, The Japan Society of Applied Physics, Tsukuba
  370. High Reliability of Ultraclean Oxide Films, M. Morita, K. Nakamura, A. Teramoto, K. Makihara, and T. Ohmi, 183th Electrochemical Society Meeting, 1992/05, Without Invitation, English, The Electrochemical Society, Honolulu
  371. Effect of Silicon Wafer In Situ Cleaning on the Chemical Structure of Ultrathin Silicon Oxide Film, N. Terada, H. Ogawa, K. Moriki, A. Teramoto, K. Makihara, M. Morita, T. Ohmi, and T. Hattori, International Conference on Solid State Devices and Materials, 1991/08/27, Without Invitation, English, The Japan Society of Applied Physics, Yokohama
  372. Effects of Si Wafer Surface Micro-Roughness on Electrical Properties of Very-Thin Gate Oxide Films, M. Morita, A. Teramoto, K. Makihara, T. Ohmi, Y. Nakazato, A. Uchiyama, and T. Abe, 179th Electrochemical Society Meeting, 1991/05, Without Invitation, English, The Electrochemical Society, Washington D.C.
  373. Native Oxide Growth on Silicon Surface in Wet Ambient, M. Morita, T. Ohmi, E. Hasegawa, and A. Teramoto, International Conference of Solid State Device and Materials, 1990/08/22, Without Invitation, English, The Japan Society of Applied Physics, Sendai
  374. Particle Generation from Gate Valves and its Behavior, M. Morita, T. Ohmi, E. Hasegawa, A. Teramoto, and S. Kawajiri, American Association for Aerosol Research, 1990/06, Without Invitation, English, American Association for Aerosol Research, Philadelphia
  375. Measurement of gas concentration distribution in vacuum chamber using high SN ratio absorption imaging, Keigo Takahashi, Yhang Ricardo Sipauba Carvalho da Silva, Naoki Numao, Rihito Kuroda, Yasuyuki Fujihara, Maasa Murata, Hidekazu Ishii, Tatsuo Morimoto, Tomoyuki Suwa, Akinobu Teramoto, Shigetoshi Sugawa, The Institute of Image Information and Television Engineers, 2019/06/18, Without Invitation, Japanese, The Institute of Image Information and Television Engineers, Tokyo
  376. Gas concentration distribution measurement in semiconductor process chamber using a high SNR CMOS absorption image sensor, K. Takahashi, Yhang Ricardo Sipauba Cavalho da Silva, R. Kuroda, Y. Fujiwara, M. Murata, H. Ishii, T. Morimoto, T. SUwa, A. Teramoto, S. Sugawa, IEICE SDM, 2019/10/24, Without Invitation, Japanese, IEICE, Sendai
  377. Resistance Measurement Platform for Statistical Evaluation of Emerging Memory Materials with High Accuracy, Takeru Maeda, Yuya Omura, Rihito Kuroda, Akinobu Teramoto, Tomoyuki Suwa, Shigetoshi Sugawa, IEICE SDM, 2019/10/24, Without Invitation, Japanese, IEICE, Sendai
  378. Influence of Silicon Wafer Surface Roughness on Semiconductor Device Characteristics, K. Mori, S. Samata, N. Mitsugi, A. Teramoto, R. Kuroda, T. Suwa, K. Hashimoto, and S. Sugawa, International Workshop on DIELECTRIC THIN FILMS FOR FUTURE ELECTRON DEVICES: SCIENCE AND TECHNOLOGY, 2019/11/18, Without Invitation, English, The Japan Society of Applied Physics, Tokyo
  379. Dielectric breakdown of MgO in MRAM, A. Teramoto, J. Tsuchimoto, H. Park, M. Hayashi, K. Tsunekawa, T. Suwa, R. Kuroda, and S. Sugawa, Special MRAM poster session IEDM, 2019/12/11, Without Invitation, English, IEEE, San Francisco
  380. Plasma Resistance of Sintered Yttrium Oxyfluoride (YOF) with Various Y, O, and F Composition Ratios, T. Goto, Y. Shiba, A. Teramoto, Y. Kishi, and S. Sugawa, AVS 66th International Symposium, 2010/02/01, Without Invitation, English, AVS, Columbus,OH, USA
  381. Operation Principle and Structure of normally-off Floating Gate GaN HEMT with Injection Gate, Kenshi Nagumo, Daiki Kimoto, Tomoyuki Suwa, Akinobu Teramoto, Riichiro Shirota, Shinichiro Tskatani, Rihito Kuroda, Shigetoshi Sugawa, IEICE Technical Committee on Electron Devices, 2020/01/31, Without Invitation, Japanese, IEICE, Tokyo, We report a GaN HEMT (High Electron Mobility Transistor) with floating gate, that has an additional injection gate for charge injection as a structure to achieve normally-off. The introduction of the injection gate not only avoids damage to the gate insulation film at the period of charge injection, which was a problem of conventional HEMT devices with floating gate, but also suppresses threshold voltage-variation by the charge injection. We show the usefulness of this structure by circuit modeling of this new structure and structural design by numerical calculation.
  382. Large-Scale Evaluation of MIM Devices Using High-Precision Current Measurement Array Test Circuit, Hayato Suzuki, Hyeonwoo Park, Akinobu Teramoto, Rihito Kuroda, Tomoyuki Suwa, Shigetoshi Sugawa, The 67th JSAP Spring Meeting, 2020/02/28, Without Invitation, Japanese, The Japan Society of Applied Physics, Tokyo

Awards

  1. 2014/09/17, Outstanding Paper Award, The Japan Society of Applied Physics, Chemical Structure of Interfacial Transition Layer Formed on Si(100) and Its Dependence on Oxidation Temperature, Annealing in Forming Gas, and Difference in Oxidizing Species

Patented

  1. US9875899, Semiconductor transistor
  2. US10043654, Method for rinsing compound semiconductor, solution for rinsing compound semiconductor containing gallium as constituent element, method for fabricating compound semiconductor device, method for fabricating gallium nitride substrate, and gallium nitride substrate
  3. US9543191, Wiring structure having interlayer insulating film and wiring line without a barrier layer between
  4. US9299844, Accumulation-mode MOSFET and driving method thereof
  5. US9230799, Method for fabricating semiconductor device and the semiconductor device
  6. US9157681, Surface treatment method for atomically flattening a silicon wafer and heat treatment apparatus
  7. US9153658, Semiconductor device and method of manufacturing the same
  8. US8,999,788, Manufacturing method of GaN-based semiconductor device and semiconductor device
  9. US8906796, Method of producing semiconductor transistor
  10. US8841545, Solar cell wherein solar photovolatic thin film is directly formed on base
  11. US8716114, Semiconductor device manufacturing method and semiconductor device
  12. US8664909, Inverter unit, integrated circuit chip, and vehicle drive apparatus
  13. US8648393, Transistor and semiconductor device
  14. US8643106, Semiconductor device
  15. US8633395, Multilayer wiring board
  16. US8575023, Contact formation method, semiconductor device manufacturing method, and semiconductor device
  17. US8497214, Semiconductor device manufacturing method
  18. US8492879, Semiconductor substrate and semiconductor device
  19. US8468719, Silicon carbide substrate, semiconductor device, wiring substrate, and silicon carbide manufacturing method
  20. US8405343, Inverter unit, integrated circuit chip, and vehicle drive apparatus
  21. US8399862, Ion implanting apparatus and ion implanting method
  22. US8362567, Semiconductor device
  23. US8314449, MIS transistor and CMOS transistor
  24. US8328928, Metal nanoink and process for producing the metal nanoink, and die bonding method and die bonding apparatus using the metal nanoink
  25. US8217270, Multilayer circuit board and electronic device
  26. US8198195, Plasma processing method and plasma processing apparatus
  27. US8183670, Semiconductor device and method of manufacturing the same
  28. US8138527, Transistor and semiconductor device
  29. JPUS8134376, Method of measuring electronic device and measuring apparatus
  30. US8093918, Electronic device identifying method and electronic device comprising identification means
  31. US8067809, Semiconductor storage device including a gate insulating film with a favorable nitrogen concentration profile and method for manufacturing the same
  32. UA8895410, Method of manufacturing semiconductor device and semiconductor manufacturing apparatus
  33. US8030182, Semiconductor device manufacturing method and semiconductor manufacturing apparatus
  34. US7994063, Method for manufacturing semiconductor device and method for cleaning semiconductor substrate
  35. US7968470, Plasma nitriding method, method for manufacturing semiconductor device and plasma processing apparatus
  36. US7975901, Bonding apparatus and wire bonding method
  37. US7965097, Test circuit, wafer, measuring apparatus, measuring method, device manufacturing method and display apparatus
  38. US7960937, Inverter unit, integrated circuit chip, and vehicle drive apparatus
  39. US7928518, P-channel power MIS field effect transistor and switching circuit
  40. US7928018, Plasma processing method and method for manufacturing an electronic device
  41. US7902595, Power IC device and method of manufacturing same
  42. US7898033, Semiconductor device
  43. US7893537, Semiconductor device
  44. US7887385, Organic EL light emitting element, manufacturing method thereof, and display device
  45. US7863925, Test circuit, wafer, measuring apparatus, and measuring method
  46. US7863713, Semiconductor device
  47. US7848828, Method and apparatus for managing manufacturing equipment, method for manufacturing device thereby
  48. US7820558, Semiconductor device and method of producing the semiconductor device
  49. US7812595, Electronic device identifying method
  50. US7800202, Semiconductor device
  51. US7774081, Manufacturing system, manufacturing method, managing apparatus, managing method and computer readable medium
  52. US7704893, Semiconductor device, method for manufacturing semiconductor device and gas for plasma CVD
  53. US7691725, Method for manufacturing semiconductor device
  54. US7663195, P-channel power MIS field effect transistor and switching circuit
  55. US7521324, Semiconductor device and method for manufacturing the same
  56. US7449719, Semiconductor device and method of manufacturing the same
  57. US7411274, Silicon semiconductor substrate and its manufacturing method
  58. US7179746, Method of surface treatment for manufacturing semiconductor device
  59. US6756647, Semiconductor device including nitride layer
  60. US 6753233, Method of manufacturing semiconductor device, and semiconductor device having memory cell
  61. US6720601, Semiconductor device comprising a gate conductive layer with a stress mitigating film thereon
  62. US6683004, Method of manufacturing a semiconductor device, and semiconductor device manufactured thereby
  63. US6649969, Nonvolatile semiconductor device
  64. US6638803, Semiconductor device and method for manufacturing the same
  65. US6521509, Semiconductor device and method of manufacturing the same
  66. US6472700, Semiconductor device with isolation insulator, interlayer insulation film, and a sidewall coating film
  67. US6221771, Method of forming tungsten silicide film, method of fabricating semiconductor devices and semiconductor manufactured thereby

Social Activities

History as Committee Members

  1. Program committee, 2020/11/01, 2021/11/30, International Workshop on Dielectric Thin Films for Future Electron Devices: Science and Technology
  2. Program Committee, 2018/11/00, 2019/11/00, The Japan Society of Applied Physics
  3. Program committee, 2018/11/00, 2019/11/30

Other Social Contributions

  1. Semiconductor Technology Symposium, Establishment of Setouchi Semiconductor Consortium, Tohoku University Suppoted by METI, MEXT, Miyagi, and Iwate, 2022/11/28, 2022/11/28, Tokyo, TV or radio appearance, Meeting or assembly, General